Home
/
alpine
/
edge
/
testing
/
armhf
File Name
Size
Date
..
—
—
3proxy-0.9.5-r1.apk
354.89KB
2025-11-17 14:54:54
3proxy-doc-0.9.5-r1.apk
26.38KB
2025-11-17 14:54:54
3proxy-openrc-0.9.5-r1.apk
1.69KB
2025-11-17 14:54:54
3proxy-systemd-0.9.5-r1.apk
1.85KB
2025-11-17 14:54:54
66-0.8.2.1-r0.apk
338.42KB
2025-06-03 22:11:17
66-dbg-0.8.2.1-r0.apk
755.97KB
2025-06-03 22:11:18
66-dev-0.8.2.1-r0.apk
1.60MB
2025-06-03 22:11:18
66-doc-0.8.2.1-r0.apk
190.37KB
2025-06-03 22:11:18
66-init-0.8.2.1-r0.apk
1.54KB
2025-06-03 22:11:18
66-tools-0.1.2.0-r0.apk
61.11KB
2025-06-01 04:39:37
66-tools-dbg-0.1.2.0-r0.apk
109.63KB
2025-06-01 04:39:37
66-tools-dev-0.1.2.0-r0.apk
2.00KB
2025-06-01 04:39:37
66-tools-doc-0.1.2.0-r0.apk
39.37KB
2025-06-01 04:39:37
66-tools-nsrules-0.1.2.0-r0.apk
2.85KB
2025-06-01 04:39:37
APKINDEX.tar.gz
693.87KB
2025-12-16 03:51:38
a2jmidid-9-r3.apk
26.74KB
2024-10-25 23:05:55
a2jmidid-doc-9-r3.apk
4.19KB
2024-10-25 23:05:55
abc-0_git20240102-r0.apk
4.82MB
2024-10-25 23:05:55
abnfgen-0.21-r0.apk
16.71KB
2025-05-28 00:40:28
abnfgen-doc-0.21-r0.apk
5.07KB
2025-05-28 00:40:28
ace-of-penguins-1.4-r3.apk
155.06KB
2024-10-25 23:05:55
ace-of-penguins-doc-1.4-r3.apk
48.58KB
2024-10-25 23:05:55
acmeleaf-0.2.0-r1.apk
5.06MB
2025-12-04 15:54:05
acmeleaf-doc-0.2.0-r1.apk
5.29KB
2025-12-04 15:54:05
acmetool-0.2.2-r18.apk
4.52MB
2025-12-04 15:54:05
acmetool-doc-0.2.2-r18.apk
46.65KB
2025-12-04 15:54:05
adguardhome-0.107.71-r0.apk
10.99MB
2025-12-13 18:13:03
adguardhome-openrc-0.107.71-r0.apk
2.19KB
2025-12-13 18:13:03
adjtimex-1.29-r0.apk
20.35KB
2024-10-25 23:05:55
adjtimex-doc-1.29-r0.apk
7.09KB
2024-10-25 23:05:55
admesh-0.98.5-r0.apk
25.22KB
2024-10-25 23:05:55
admesh-dev-0.98.5-r0.apk
4.04KB
2024-10-25 23:05:55
admesh-doc-0.98.5-r0.apk
23.43KB
2024-10-25 23:05:55
advancescan-1.18-r1.apk
239.92KB
2024-10-25 23:05:55
advancescan-doc-1.18-r1.apk
7.27KB
2024-10-25 23:05:55
afetch-2.2.0-r1.apk
9.43KB
2024-10-25 23:05:55
afetch-doc-2.2.0-r1.apk
13.58KB
2024-10-25 23:05:55
afew-3.0.1-r0.apk
73.14KB
2025-05-19 01:29:16
afew-doc-3.0.1-r0.apk
12.40KB
2025-05-19 01:29:16
agate-3.3.19-r0.apk
744.77KB
2025-09-23 22:42:29
agate-openrc-3.3.19-r0.apk
1.96KB
2025-09-23 22:42:29
aggregate6-1.0.14-r0.apk
7.26KB
2025-10-14 14:05:28
aggregate6-doc-1.0.14-r0.apk
2.94KB
2025-10-14 14:05:28
aggregate6-pyc-1.0.14-r0.apk
5.81KB
2025-10-14 14:05:28
agrep-0.8.0-r2.apk
8.35KB
2024-10-25 23:05:55
agrep-doc-0.8.0-r2.apk
4.11KB
2024-10-25 23:05:55
aide-0.19.2-r0.apk
87.19KB
2025-09-05 09:56:00
aide-doc-0.19.2-r0.apk
15.54KB
2025-09-05 09:56:00
alertmanager-irc-relay-0.5.1-r1.apk
4.76MB
2025-09-05 09:56:01
alertmanager-irc-relay-openrc-0.5.1-r1.apk
1.99KB
2025-09-05 09:56:01
alpine-lift-0.2.0-r28.apk
3.70MB
2025-12-04 15:54:05
alps-0_git20230807-r17.apk
5.52MB
2025-12-04 15:54:05
alps-openrc-0_git20230807-r17.apk
2.01KB
2025-12-04 15:54:05
alttab-1.7.1-r0.apk
37.42KB
2024-10-25 23:05:56
alttab-doc-1.7.1-r0.apk
10.30KB
2024-10-25 23:05:56
amber-0.4.0_alpha-r0.apk
541.74KB
2025-09-18 05:18:06
amdgpu-fan-0.1.0-r5.apk
14.01KB
2024-10-25 23:05:56
amdgpu-fan-pyc-0.1.0-r5.apk
9.62KB
2024-10-25 23:05:56
amdgpu_top-0.11.0-r0.apk
6.92MB
2025-09-03 03:49:00
amdgpu_top-doc-0.11.0-r0.apk
3.98KB
2025-09-03 03:49:00
amiitool-2-r2.apk
6.67KB
2024-10-25 23:05:56
ampy-1.1.0-r6.apk
15.41KB
2025-03-19 13:44:28
ampy-doc-1.1.0-r6.apk
4.09KB
2025-03-19 13:44:28
ampy-pyc-1.1.0-r6.apk
19.45KB
2025-03-19 13:44:28
amule-2.3.3-r13.apk
4.05MB
2024-10-25 23:05:56
amule-doc-2.3.3-r13.apk
281.44KB
2024-10-25 23:05:56
amule-lang-2.3.3-r13.apk
1.57MB
2024-10-25 23:05:56
anarch-1.0-r1.apk
95.38KB
2024-10-25 23:05:56
anarch-doc-1.0-r1.apk
17.98KB
2024-10-25 23:05:56
anari-sdk-0.7.2-r0.apk
284.83KB
2024-10-25 23:05:56
anari-sdk-dev-0.7.2-r0.apk
58.57KB
2024-10-25 23:05:56
anari-sdk-static-0.7.2-r0.apk
202.44KB
2024-10-25 23:05:56
android-apkeep-0.17.0-r0.apk
1.93MB
2024-10-25 23:05:56
android-build-tools-15.0-r1.apk
1.74MB
2025-12-12 00:06:42
android-file-transfer-4.5-r0.apk
189.84KB
2025-06-25 02:02:48
android-file-transfer-cli-4.5-r0.apk
107.27KB
2025-06-25 02:02:48
android-file-transfer-dev-4.5-r0.apk
1.57KB
2025-06-25 02:02:48
android-file-transfer-libs-4.5-r0.apk
125.75KB
2025-06-25 02:02:48
angband-4.2.5-r0.apk
22.63MB
2024-10-25 23:05:56
ansible-bender-0.10.1-r2.apk
36.30KB
2024-10-25 23:05:56
ansible-bender-doc-0.10.1-r2.apk
10.09KB
2024-10-25 23:05:56
ansible-bender-pyc-0.10.1-r2.apk
65.01KB
2024-10-25 23:05:56
antibody-6.1.1-r33.apk
1.78MB
2025-12-04 15:54:05
antimicrox-3.5.1-r0.apk
1.60MB
2025-06-13 16:54:05
antimicrox-doc-3.5.1-r0.apk
23.85KB
2025-06-13 16:54:05
aoetools-37-r2.apk
19.80KB
2025-01-14 10:23:36
aoetools-doc-37-r2.apk
13.75KB
2025-01-14 10:23:36
apache-mod-auth-gssapi-1.6.5-r1.apk
56.22KB
2024-10-25 23:05:56
apache-mod-auth-openidc-2.4.16.11-r1.apk
227.59KB
2025-05-22 09:53:22
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
4.45KB
2025-05-22 09:53:22
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
292.56KB
2025-05-22 09:53:22
apache2-mod-authnz-external-3.3.3-r0.apk
7.20KB
2024-10-25 23:05:56
apache2-mod-authnz-external-doc-3.3.3-r0.apk
10.05KB
2024-10-25 23:05:56
apache2-mod-maxminddb-1.2.0-r0.apk
10.65KB
2025-05-19 13:23:16
apache2-mod-perl-2.0.13-r2.apk
636.94KB
2025-06-30 10:14:28
apache2-mod-perl-dbg-2.0.13-r2.apk
75.81KB
2025-06-30 10:14:28
apache2-mod-perl-dev-2.0.13-r2.apk
37.99KB
2025-06-30 10:14:28
apache2-mod-perl-doc-2.0.13-r2.apk
304.01KB
2025-06-30 10:14:28
apache2-mod-realdoc-1-r1.apk
4.68KB
2024-10-25 23:05:56
apk-autoupdate-0_git20210421-r1.apk
13.02KB
2024-11-20 00:34:15
apk-autoupdate-doc-0_git20210421-r1.apk
6.95KB
2024-11-20 00:34:15
apk-snap-3.1.1-r0.apk
6.62KB
2024-10-25 23:05:56
apk-snap-doc-3.1.1-r0.apk
19.93KB
2024-10-25 23:05:56
aports-glmr-0.2-r33.apk
2.60MB
2025-12-04 15:54:05
appcenter-8.0.0-r0.apk
393.23KB
2024-11-12 23:55:19
appcenter-lang-8.0.0-r0.apk
258.31KB
2024-11-12 23:55:19
aprilsh-0.7.12-r10.apk
1.64KB
2025-12-04 15:54:05
aprilsh-client-0.7.12-r10.apk
3.24MB
2025-12-04 15:54:05
aprilsh-doc-0.7.12-r10.apk
14.41KB
2025-12-04 15:54:05
aprilsh-openrc-0.7.12-r10.apk
1.83KB
2025-12-04 15:54:05
aprilsh-server-0.7.12-r10.apk
2.42MB
2025-12-04 15:54:05
apt-dater-1.0.4-r4.apk
56.39KB
2024-10-25 23:05:56
apt-dater-doc-1.0.4-r4.apk
9.87KB
2024-10-25 23:05:56
apt-dater-lang-1.0.4-r4.apk
13.13KB
2024-10-25 23:05:56
apt-mirror-0.5.4-r0.apk
9.45KB
2024-10-25 23:05:56
apt-mirror-doc-0.5.4-r0.apk
4.63KB
2024-10-25 23:05:56
apt-swarm-0.5.1-r1.apk
3.04MB
2025-10-12 16:44:20
apt-swarm-bash-completion-0.5.1-r1.apk
4.19KB
2025-10-12 16:44:20
apt-swarm-fish-completion-0.5.1-r1.apk
5.74KB
2025-10-12 16:44:20
apt-swarm-openrc-0.5.1-r1.apk
1.95KB
2025-10-12 16:44:20
apt-swarm-zsh-completion-0.5.1-r1.apk
5.87KB
2025-10-12 16:44:20
aptdec-1.8.0-r1.apk
84.93KB
2025-02-09 01:43:58
aptdec-dev-1.8.0-r1.apk
3.42KB
2025-02-09 01:43:58
aptdec-libs-1.8.0-r1.apk
13.98KB
2025-02-09 01:43:58
apulse-0.1.14-r0.apk
35.30KB
2025-09-06 15:01:47
apulse-doc-0.1.14-r0.apk
2.95KB
2025-09-06 15:01:47
apx-2.4.5-r5.apk
3.51MB
2025-12-04 15:54:05
apx-doc-2.4.5-r5.apk
2.41KB
2025-12-04 15:54:05
aqemu-0.9.4-r3.apk
1.58MB
2024-10-25 23:05:56
aqemu-doc-0.9.4-r3.apk
7.52KB
2024-10-25 23:05:56
arc-20221218-r0.apk
1.75KB
2024-10-25 23:05:56
arc-cinnamon-20221218-r0.apk
68.03KB
2024-10-25 23:05:56
arc-dark-20221218-r0.apk
1.75KB
2024-10-25 23:05:56
arc-dark-cinnamon-20221218-r0.apk
68.43KB
2024-10-25 23:05:56
arc-dark-gnome-20221218-r0.apk
27.08KB
2024-10-25 23:05:56
arc-dark-gtk2-20221218-r0.apk
38.40KB
2024-10-25 23:05:56
arc-dark-gtk3-20221218-r0.apk
93.27KB
2024-10-25 23:05:56
arc-dark-gtk4-20221218-r0.apk
86.24KB
2024-10-25 23:05:56
arc-dark-metacity-20221218-r0.apk
17.47KB
2024-10-25 23:05:56
arc-dark-xfwm-20221218-r0.apk
7.87KB
2024-10-25 23:05:56
arc-darker-20221218-r0.apk
1.76KB
2024-10-25 23:05:56
arc-darker-gtk2-20221218-r0.apk
38.50KB
2024-10-25 23:05:56
arc-darker-gtk3-20221218-r0.apk
123.75KB
2024-10-25 23:05:56
arc-darker-gtk4-20221218-r0.apk
110.15KB
2024-10-25 23:05:56
arc-darker-metacity-20221218-r0.apk
17.48KB
2024-10-25 23:05:56
arc-darker-xfwm-20221218-r0.apk
7.87KB
2024-10-25 23:05:56
arc-gnome-20221218-r0.apk
28.64KB
2024-10-25 23:05:56
arc-gtk2-20221218-r0.apk
37.53KB
2024-10-25 23:05:56
arc-gtk3-20221218-r0.apk
125.87KB
2024-10-25 23:05:56
arc-gtk4-20221218-r0.apk
113.52KB
2024-10-25 23:05:56
arc-icon-theme-20161122-r0.apk
4.36MB
2024-10-25 23:05:57
arc-lighter-20221218-r0.apk
1.76KB
2024-10-25 23:05:57
arc-lighter-gtk2-20221218-r0.apk
37.54KB
2024-10-25 23:05:57
arc-lighter-gtk3-20221218-r0.apk
124.58KB
2024-10-25 23:05:57
arc-lighter-gtk4-20221218-r0.apk
112.82KB
2024-10-25 23:05:57
arc-lighter-metacity-20221218-r0.apk
17.29KB
2024-10-25 23:05:57
arc-lighter-xfwm-20221218-r0.apk
7.72KB
2024-10-25 23:05:57
arc-metacity-20221218-r0.apk
17.25KB
2024-10-25 23:05:57
arc-theme-20221218-r0.apk
1.45KB
2024-10-25 23:05:57
arc-xfwm-20221218-r0.apk
7.70KB
2024-10-25 23:05:57
arduino-cli-1.3.1-r2.apk
8.55MB
2025-12-04 15:54:06
arif-0.3.0-r0.apk
16.29KB
2025-11-30 12:11:45
arif-dev-0.3.0-r0.apk
3.47KB
2025-11-30 12:11:45
arif-doc-0.3.0-r0.apk
19.39KB
2025-11-30 12:11:45
armagetronad-0.2.9.1.1-r0.apk
1.55MB
2024-10-25 23:05:57
armagetronad-doc-0.2.9.1.1-r0.apk
91.94KB
2024-10-25 23:05:57
asdf-0.18.0-r5.apk
1.62MB
2025-12-04 15:54:06
asdf-doc-0.18.0-r5.apk
2.25KB
2025-12-04 15:54:06
aspell-es-1.11-r0.apk
533.01KB
2024-10-25 23:05:57
asymptote-3.04-r0.apk
1.39MB
2025-05-31 09:51:06
asymptote-doc-3.04-r0.apk
3.13MB
2025-05-31 09:51:06
atac-0.18.1-r0.apk
4.82MB
2024-11-25 23:43:57
atomicparsley-20240608-r0.apk
115.30KB
2024-10-25 23:05:57
atool-0.39.0-r4.apk
17.73KB
2024-10-25 23:05:57
atool-bash-completion-0.39.0-r4.apk
2.04KB
2024-10-25 23:05:57
atool-doc-0.39.0-r4.apk
9.61KB
2024-10-25 23:05:57
aufs-util-20161219-r3.apk
191.11KB
2024-10-25 23:05:57
aufs-util-dev-20161219-r3.apk
1.46KB
2024-10-25 23:05:57
aufs-util-doc-20161219-r3.apk
33.89KB
2024-10-25 23:05:57
authenticator-rs-0.8.6-r0.apk
2.25MB
2025-09-01 21:27:32
authenticator-rs-lang-0.8.6-r0.apk
4.07KB
2025-09-01 21:27:32
autoconf-policy-0.1-r0.apk
5.49KB
2024-10-25 23:05:57
autoremove-torrents-1.5.5-r0.apk
35.44KB
2024-10-25 23:05:57
autoremove-torrents-doc-1.5.5-r0.apk
11.58KB
2024-10-25 23:05:57
autoremove-torrents-pyc-1.5.5-r0.apk
53.79KB
2024-10-25 23:05:57
autorestic-1.8.3-r10.apk
3.75MB
2025-12-04 15:54:06
autotrash-0.4.7-r0.apk
22.81KB
2024-10-25 23:05:57
autotrash-pyc-0.4.7-r0.apk
14.06KB
2024-10-25 23:05:57
avahi2dns-0.1.0-r6.apk
2.50MB
2025-12-04 15:54:06
avahi2dns-openrc-0.1.0-r6.apk
1.80KB
2025-12-04 15:54:06
avarice-2.14-r4.apk
80.22KB
2024-10-25 23:05:57
avarice-doc-2.14-r4.apk
9.45KB
2024-10-25 23:05:57
avra-1.4.2-r0.apk
37.68KB
2024-10-25 23:05:57
avra-dev-1.4.2-r0.apk
254.72KB
2024-10-25 23:05:57
aws-ecr-get-login-password-1.0.0_rc2-r1.apk
3.18MB
2025-12-04 15:54:06
aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk
2.33KB
2025-12-04 15:54:06
azote-1.14.0-r0.apk
7.61MB
2024-12-14 22:38:03
azote-pyc-1.14.0-r0.apk
98.01KB
2024-12-14 22:38:03
azpainter-3.0.11-r0.apk
701.78KB
2025-02-22 13:09:56
azpainter-doc-3.0.11-r0.apk
41.90KB
2025-02-22 13:09:56
azure-iot-sdk-c-static-1.11.0-r0.apk
777.03KB
2024-10-25 23:05:57
b2-tools-4.3.2-r0.apk
72.49KB
2025-05-03 11:44:45
b2-tools-pyc-4.3.2-r0.apk
135.88KB
2025-05-03 11:44:45
backup-manager-0.7.15-r1.apk
55.31KB
2024-10-25 23:05:57
bacon-3.18.0-r0.apk
1.82MB
2025-09-30 20:38:43
baikal-0.10.1-r1.apk
1.25MB
2025-05-28 00:41:14
baikal-mysql-0.10.1-r1.apk
1.29KB
2025-05-28 00:41:14
baikal-pgsql-0.10.1-r1.apk
1.29KB
2025-05-28 00:41:14
baikal-sqlite-0.10.1-r1.apk
1.44KB
2025-05-28 00:41:14
bake-2.5.1-r0.apk
109.42KB
2024-10-25 23:05:57
bakelite-0.4.2-r0.apk
38.50KB
2024-10-25 23:05:57
baresip-4.1.0-r0.apk
0.99MB
2025-10-03 10:59:15
baresip-dev-4.1.0-r0.apk
16.01KB
2025-10-03 10:59:15
barman-3.16.2-r0.apk
378.19KB
2025-11-21 00:15:39
barman-bash-completion-3.16.2-r0.apk
1.64KB
2025-11-21 00:15:39
barman-doc-3.16.2-r0.apk
88.86KB
2025-11-21 00:15:39
barman-pyc-3.16.2-r0.apk
594.65KB
2025-11-21 00:15:39
barnyard2-2.1.14_git20160413-r1.apk
122.22KB
2024-10-25 23:05:57
barnyard2-openrc-2.1.14_git20160413-r1.apk
2.76KB
2024-10-25 23:05:57
barrier-2.4.0-r2.apk
909.50KB
2025-02-17 14:07:12
barrier-doc-2.4.0-r2.apk
12.99KB
2025-02-17 14:07:12
bartib-1.0.1-r1.apk
359.78KB
2024-10-25 23:05:57
base64c-0.2.1-r0.apk
4.24KB
2024-10-25 23:05:57
base64c-dev-0.2.1-r0.apk
5.09KB
2024-10-25 23:05:57
bash-pinyin-completion-rs-1.0.3-r0.apk
312.25KB
2025-12-10 12:25:09
bash-pinyin-completion-rs-doc-1.0.3-r0.apk
13.58KB
2025-12-10 12:25:09
bat-extras-2024.08.24-r0.apk
5.32KB
2025-10-12 23:47:06
bat-extras-batdiff-2024.08.24-r0.apk
5.40KB
2025-10-12 23:47:06
bat-extras-batgrep-2024.08.24-r0.apk
7.35KB
2025-10-12 23:47:06
bat-extras-batman-2024.08.24-r0.apk
4.75KB
2025-10-12 23:47:06
bat-extras-batpipe-2024.08.24-r0.apk
7.03KB
2025-10-12 23:47:06
bat-extras-batwatch-2024.08.24-r0.apk
5.85KB
2025-10-12 23:47:06
bat-extras-doc-2024.08.24-r0.apk
15.53KB
2025-10-12 23:47:06
bat-extras-prettybat-2024.08.24-r0.apk
5.58KB
2025-10-12 23:47:06
batmon-0.0.1-r0.apk
444.09KB
2024-10-25 23:05:57
battery-limit-openrc-1-r0.apk
1.84KB
2025-06-17 13:18:37
bcg729-1.1.1-r1.apk
35.21KB
2025-10-15 11:20:52
bcg729-dev-1.1.1-r1.apk
3.62KB
2025-10-15 11:20:52
bchunk-1.2.2-r3.apk
7.35KB
2024-10-25 23:05:57
bchunk-doc-1.2.2-r3.apk
2.99KB
2024-10-25 23:05:57
bdfr-2.6.2-r1.apk
130.93KB
2024-10-25 23:05:57
beard-0.4-r0.apk
3.14KB
2024-10-25 23:05:57
beard-doc-0.4-r0.apk
2.48KB
2024-10-25 23:05:57
bees-0.10-r2.apk
303.18KB
2024-10-25 23:05:57
bees-openrc-0.10-r2.apk
1.95KB
2024-10-25 23:05:57
belcard-5.3.105-r0.apk
12.36KB
2025-02-25 14:48:32
belcard-dev-5.3.105-r0.apk
11.46KB
2025-02-25 14:48:32
belcard-libs-5.3.105-r0.apk
193.34KB
2025-02-25 14:48:32
belle-sip-5.3.105-r0.apk
630.10KB
2025-02-25 14:52:15
belle-sip-dev-5.3.105-r0.apk
53.88KB
2025-02-25 14:52:15
belr-5.3.105-r0.apk
102.21KB
2025-02-25 14:52:33
belr-dev-5.3.105-r0.apk
14.53KB
2025-02-25 14:52:33
berry-lang-1.1.0-r0.apk
96.88KB
2024-10-25 23:05:57
bestline-0.0_git20211108-r0.apk
20.08KB
2024-10-25 23:05:57
bestline-dev-0.0_git20211108-r0.apk
1.68KB
2024-10-25 23:05:57
bestline-doc-0.0_git20211108-r0.apk
17.61MB
2024-10-25 23:05:57
bettercap-2.41.5-r0.apk
18.85MB
2025-12-16 03:51:38
bettercap-doc-2.41.5-r0.apk
13.59KB
2025-12-16 03:51:38
bgpq4-1.15-r0.apk
33.80KB
2024-10-25 23:05:58
bgpq4-doc-1.15-r0.apk
6.34KB
2024-10-25 23:05:58
bgs-0.8-r1.apk
5.81KB
2024-10-25 23:05:58
bgs-doc-0.8-r1.apk
2.30KB
2024-10-25 23:05:58
biboumi-9.0-r9.apk
270.12KB
2025-10-08 00:03:34
biboumi-doc-9.0-r9.apk
1.48KB
2025-10-08 00:03:34
biboumi-openrc-9.0-r9.apk
1.89KB
2025-10-08 00:03:34
bindfs-1.17.7-r1.apk
21.68KB
2025-06-19 11:34:10
bindfs-doc-1.17.7-r1.apk
9.05KB
2025-06-19 11:34:10
biometryd-0.3.3-r0.apk
295.77KB
2025-12-10 12:25:09
biometryd-dev-0.3.3-r0.apk
12.97KB
2025-12-10 12:25:09
birdtray-1.11.4-r0.apk
430.84KB
2025-12-12 19:03:48
bitlbee-facebook-1.2.2-r0.apk
53.70KB
2024-10-25 23:05:58
bitlbee-mastodon-1.4.5-r0.apk
43.30KB
2024-10-25 23:05:58
bitritter-0.1.1-r0.apk
2.12MB
2024-10-25 23:05:58
bkt-0.8.0-r0.apk
361.61KB
2024-10-25 23:05:58
bkt-doc-0.8.0-r0.apk
7.25KB
2024-10-25 23:05:58
blackbox-1.20220610-r1.apk
15.71KB
2024-10-25 23:05:58
blip-0.10-r0.apk
15.29KB
2024-10-25 23:05:58
blip-doc-0.10-r0.apk
29.63KB
2024-10-25 23:05:58
bochs-2.8-r1.apk
937.11KB
2025-02-20 20:48:55
bochs-doc-2.8-r1.apk
139.00KB
2025-02-20 20:48:55
boinc-7.24.3-r0.apk
1.53MB
2024-10-25 23:05:58
boinc-dev-7.24.3-r0.apk
581.73KB
2024-10-25 23:05:58
boinc-doc-7.24.3-r0.apk
7.97KB
2024-10-25 23:05:58
boinc-gui-7.24.3-r0.apk
0.98MB
2024-10-25 23:05:58
boinc-lang-7.24.3-r0.apk
876.93KB
2024-10-25 23:05:58
boinc-libs-7.24.3-r0.apk
199.69KB
2024-10-25 23:05:58
boinc-screensaver-7.24.3-r0.apk
119.21KB
2024-10-25 23:05:58
bomctl-0.1.9-r11.apk
9.00MB
2025-12-04 15:54:06
bomctl-bash-completion-0.1.9-r11.apk
5.14KB
2025-12-04 15:54:06
bomctl-fish-completion-0.1.9-r11.apk
4.34KB
2025-12-04 15:54:06
bomctl-zsh-completion-0.1.9-r11.apk
4.06KB
2025-12-04 15:54:06
bonzomatic-20230615-r0.apk
619.78KB
2024-10-25 23:05:58
bootchart2-0.14.9-r1.apk
135.68KB
2025-11-17 14:54:54
bootchart2-systemd-0.14.9-r1.apk
2.22KB
2025-11-17 14:54:54
bootinfo-0.1.0-r4.apk
19.43KB
2024-10-25 23:05:58
bootinfo-pyc-0.1.0-r4.apk
8.25KB
2024-10-25 23:05:58
bootloose-0.7.1-r16.apk
2.19MB
2025-12-04 15:54:06
bootterm-0.5-r0.apk
17.78KB
2024-10-25 23:05:58
bootterm-dbg-0.5-r0.apk
2.30KB
2024-10-25 23:05:58
bore-0.5.2-r0.apk
571.63KB
2024-12-15 21:18:45
boson-0_git20211219-r0.apk
15.87KB
2024-10-25 23:05:58
botan2-2.19.5-r0.apk
388.00KB
2025-05-29 00:37:47
botan2-dev-2.19.5-r0.apk
310.81KB
2025-05-29 00:37:47
botan2-doc-2.19.5-r0.apk
306.22KB
2025-05-29 00:37:47
botan2-libs-2.19.5-r0.apk
2.46MB
2025-05-29 00:37:48
boxes-2.3.1-r0.apk
75.07KB
2024-10-25 23:05:58
boxes-doc-2.3.1-r0.apk
7.11KB
2024-10-25 23:05:58
brial-1.2.11-r4.apk
1.01MB
2024-10-25 23:05:58
brial-dev-1.2.11-r4.apk
1.73MB
2024-10-25 23:05:58
brltty-6.7-r1.apk
2.00MB
2025-03-28 18:15:40
brltty-dev-6.7-r1.apk
140.08KB
2025-03-28 18:15:40
brltty-doc-6.7-r1.apk
9.39KB
2025-03-28 18:15:40
brltty-lang-6.7-r1.apk
148.68KB
2025-03-28 18:15:40
brltty-static-6.7-r1.apk
23.46KB
2025-03-28 18:15:40
btpd-0.16-r2.apk
70.26KB
2024-10-25 23:05:58
btpd-doc-0.16-r2.apk
8.40KB
2024-10-25 23:05:58
budgie-control-center-1.4.0-r0.apk
2.93MB
2025-10-16 20:07:38
budgie-control-center-bash-completion-1.4.0-r0.apk
2.30KB
2025-10-16 20:07:38
budgie-control-center-lang-1.4.0-r0.apk
3.92MB
2025-10-16 20:07:39
budgie-desktop-10.9.2-r0.apk
1.25MB
2025-10-16 20:07:39
budgie-desktop-dev-10.9.2-r0.apk
18.22KB
2025-10-16 20:07:39
budgie-desktop-doc-10.9.2-r0.apk
5.65KB
2025-10-16 20:07:39
budgie-desktop-lang-10.9.2-r0.apk
585.87KB
2025-10-16 20:07:39
budgie-screensaver-5.1.0-r0.apk
72.04KB
2025-10-16 20:07:39
budgie-screensaver-doc-5.1.0-r0.apk
3.34KB
2025-10-16 20:07:39
budgie-screensaver-lang-5.1.0-r0.apk
240.18KB
2025-10-16 20:07:39
budgie-session-0.9.1-r0.apk
100.03KB
2025-10-16 20:07:39
budgie-session-doc-0.9.1-r0.apk
5.29KB
2025-10-16 20:07:39
budgie-session-lang-0.9.1-r0.apk
306.62KB
2025-10-16 20:07:39
bump2version-1.0.1-r6.apk
20.85KB
2024-10-25 23:05:58
bump2version-pyc-1.0.1-r6.apk
29.11KB
2024-10-25 23:05:58
burp-3.1.4-r0.apk
164.60KB
2024-10-25 23:05:58
burp-doc-3.1.4-r0.apk
99.41KB
2024-10-25 23:05:58
burp-server-3.1.4-r0.apk
36.06KB
2024-10-25 23:05:58
butane-0.25.1-r2.apk
3.17MB
2025-12-04 15:54:06
bwrap-oci-0.2-r1.apk
14.50KB
2024-10-25 23:05:58
bwrap-oci-doc-0.2-r1.apk
2.49KB
2024-10-25 23:05:58
bzmenu-0.2.1-r3.apk
1.13MB
2025-08-09 02:39:58
caffeine-ng-4.2.0-r1.apk
100.40KB
2024-10-25 23:05:58
caffeine-ng-doc-4.2.0-r1.apk
3.16KB
2024-10-25 23:05:58
caffeine-ng-lang-4.2.0-r1.apk
34.36KB
2024-10-25 23:05:58
caja-gtkhash-plugin-1.5-r0.apk
23.94KB
2024-10-25 23:05:58
capnet-assist-8.0.0-r0.apk
43.18KB
2025-04-14 13:07:59
capnet-assist-lang-8.0.0-r0.apk
37.25KB
2025-04-14 13:07:59
caps2esc-0.3.2-r0.apk
4.40KB
2024-10-25 23:05:58
captive-browser-0_git20210801-r2.apk
1.21MB
2025-12-04 15:54:06
captive-browser-doc-0_git20210801-r2.apk
3.68KB
2025-12-04 15:54:06
care-2.3.0-r1.apk
88.38KB
2024-10-25 23:05:58
care-doc-2.3.0-r1.apk
7.89KB
2024-10-25 23:05:58
cargo-crev-0.26.3-r0.apk
6.23MB
2025-03-02 18:15:19
cargo-geiger-0.13.0-r0.apk
5.32MB
2025-10-10 20:34:02
cargo-geiger-doc-0.13.0-r0.apk
7.68KB
2025-10-10 20:34:02
cargo-generate-0.23.5-r0.apk
2.39MB
2025-10-04 16:15:56
cargo-machete-0.9.1-r0.apk
1.29MB
2025-08-17 21:48:33
cargo-machete-doc-0.9.1-r0.apk
4.36KB
2025-08-17 21:48:33
cargo-run-bin-1.7.2-r0.apk
445.68KB
2024-10-25 23:05:58
cargo-run-bin-doc-1.7.2-r0.apk
5.04KB
2024-10-25 23:05:58
cargo-show-asm-0.2.53-r0.apk
863.58KB
2025-10-30 02:23:39
cargo-show-asm-doc-0.2.53-r0.apk
10.00KB
2025-10-30 02:23:39
cargo-shuttle-0.56.6-r0.apk
5.00MB
2025-07-29 10:57:24
cargo-shuttle-bash-completion-0.56.6-r0.apk
5.17KB
2025-07-29 10:57:24
cargo-shuttle-doc-0.56.6-r0.apk
8.99KB
2025-07-29 10:57:24
cargo-shuttle-fish-completion-0.56.6-r0.apk
9.05KB
2025-07-29 10:57:24
cargo-shuttle-zsh-completion-0.56.6-r0.apk
7.94KB
2025-07-29 10:57:24
cargo-udeps-0.1.59-r0.apk
4.93MB
2025-10-03 04:22:11
cargo-udeps-doc-0.1.59-r0.apk
7.51KB
2025-10-03 04:22:11
cargo-update-18.0.0-r0.apk
1.15MB
2025-10-28 09:24:40
cargo-update-doc-18.0.0-r0.apk
8.50KB
2025-10-28 09:24:40
cargo-vendor-filterer-0.5.18-r0.apk
647.16KB
2025-07-24 20:29:43
cartero-0.2.2-r0.apk
1.15MB
2025-10-13 02:56:28
cartero-lang-0.2.2-r0.apk
44.76KB
2025-10-13 02:56:28
castero-0.9.5-r4.apk
50.47KB
2025-05-15 00:13:47
castero-pyc-0.9.5-r4.apk
93.98KB
2025-05-15 00:13:47
castor-0.9.0-r2.apk
714.59KB
2024-10-25 23:05:58
catdoc-0.95-r1.apk
109.73KB
2024-10-25 23:05:58
catdoc-doc-0.95-r1.apk
9.25KB
2024-10-25 23:05:58
catfish-4.20.1-r0.apk
127.79KB
2025-07-07 22:22:55
catfish-doc-4.20.1-r0.apk
13.28KB
2025-07-07 22:22:55
catfish-lang-4.20.1-r0.apk
166.67KB
2025-07-07 22:22:55
catfish-pyc-4.20.1-r0.apk
104.11KB
2025-07-07 22:22:55
catppuccin-whiskers-2.5.1-r0.apk
1.69MB
2025-11-29 21:00:10
catppuccin-whiskers-doc-2.5.1-r0.apk
2.25KB
2025-11-29 21:00:10
cava-0.10.6-r0.apk
47.67KB
2025-09-12 11:10:31
cc65-2.19-r0.apk
8.80MB
2024-10-25 23:05:59
ccrtp-2.1.2-r0.apk
88.34KB
2024-10-25 23:05:59
ccrtp-dev-2.1.2-r0.apk
52.71KB
2024-10-25 23:05:59
ccrtp-doc-2.1.2-r0.apk
31.27KB
2024-10-25 23:05:59
ccze-0.2.1-r1.apk
71.67KB
2024-10-25 23:05:59
ccze-dev-0.2.1-r1.apk
3.32KB
2024-10-25 23:05:59
ccze-doc-0.2.1-r1.apk
8.84KB
2024-10-25 23:05:59
cdba-1.0-r2.apk
7.87KB
2024-10-25 23:05:59
cdba-server-1.0-r2.apk
20.19KB
2024-10-25 23:05:59
cddlib-0.94m-r2.apk
169.20KB
2024-10-25 23:05:59
cddlib-dev-0.94m-r2.apk
14.07KB
2024-10-25 23:05:59
cddlib-doc-0.94m-r2.apk
863.71KB
2024-10-25 23:05:59
cddlib-static-0.94m-r2.apk
234.58KB
2024-10-25 23:05:59
cddlib-tools-0.94m-r2.apk
34.65KB
2024-10-25 23:05:59
cdist-7.0.0-r6.apk
510.99KB
2024-10-25 23:05:59
cdist-pyc-7.0.0-r6.apk
127.58KB
2024-10-25 23:05:59
cdogs-sdl-2.3.2-r0.apk
27.92MB
2025-10-09 21:47:28
certbot-dns-hetzner-2.0.1-r1.apk
10.14KB
2025-10-08 00:03:34
certbot-dns-hetzner-pyc-2.0.1-r1.apk
6.46KB
2025-10-08 00:03:34
certbot-dns-njalla-2.0.0-r0.apk
9.30KB
2024-11-28 01:06:05
certbot-dns-njalla-pyc-2.0.0-r0.apk
4.21KB
2024-11-28 01:06:06
certbot-dns-pdns-0.1.1-r1.apk
8.61KB
2025-08-28 04:19:55
certbot-dns-pdns-pyc-0.1.1-r1.apk
3.87KB
2025-08-28 04:19:55
certigo-1.16.0-r28.apk
3.73MB
2025-12-04 15:54:06
certstrap-1.3.0-r29.apk
2.32MB
2025-12-04 15:54:06
cfssl-1.6.5-r10.apk
29.06MB
2025-12-04 15:54:07
cgiirc-0.5.12-r1.apk
132.01KB
2024-10-25 23:06:00
cgo-0.6.1-r1.apk
10.18KB
2024-10-25 23:06:00
cgo-doc-0.6.1-r1.apk
4.11KB
2024-10-25 23:06:00
charls-2.4.2-r0.apk
61.06KB
2024-10-25 23:06:00
charls-dev-2.4.2-r0.apk
26.59KB
2024-10-25 23:06:00
charta-0.8.2-r1.apk
2.00MB
2025-12-04 15:54:07
chasquid-1.16.0-r3.apk
11.16MB
2025-12-04 15:54:07
chasquid-doc-1.16.0-r3.apk
10.87KB
2025-12-04 15:54:07
chasquid-openrc-1.16.0-r3.apk
1.96KB
2025-12-04 15:54:07
checkpolicy-3.6-r0.apk
368.68KB
2024-10-25 23:06:00
checkpolicy-doc-3.6-r0.apk
4.15KB
2024-10-25 23:06:00
cherrytree-1.4.0-r0.apk
2.61MB
2025-03-26 19:40:21
cherrytree-doc-1.4.0-r0.apk
2.10KB
2025-03-26 19:40:21
cherrytree-lang-1.4.0-r0.apk
858.56KB
2025-03-26 19:40:21
chess-tui-2.0.0-r0.apk
1.40MB
2025-12-13 03:11:41
chess-tui-doc-2.0.0-r0.apk
2.24KB
2025-12-13 03:11:41
chim-1.1.2-r1.apk
1.56MB
2024-10-25 23:06:00
chim-doc-1.1.2-r1.apk
2.81KB
2024-10-25 23:06:00
chimerautils-15.0.2-r0.apk
1.19MB
2025-12-08 19:20:19
chimerautils-dbg-15.0.2-r0.apk
3.10MB
2025-12-08 19:20:19
chocolate-doom-3.1.1-r0.apk
1.57MB
2025-08-19 04:30:36
chocolate-doom-doc-3.1.1-r0.apk
232.61KB
2025-08-19 04:30:36
cilium-cli-0.16.13-r10.apk
53.87MB
2025-12-04 15:54:08
cilium-cli-bash-completion-0.16.13-r10.apk
5.07KB
2025-12-04 15:54:08
cilium-cli-fish-completion-0.16.13-r10.apk
4.33KB
2025-12-04 15:54:08
cilium-cli-zsh-completion-0.16.13-r10.apk
4.05KB
2025-12-04 15:54:08
cimg-3.4.1-r0.apk
825.98KB
2024-10-25 23:06:01
circuslinux-1.0.3-r1.apk
19.56KB
2024-10-25 23:06:01
circuslinux-data-1.0.3-r1.apk
1.13MB
2024-10-25 23:06:01
circuslinux-doc-1.0.3-r1.apk
17.97KB
2024-10-25 23:06:01
ckb-next-0.6.2-r1.apk
1.33MB
2025-09-05 14:51:06
ckb-next-daemon-0.6.2-r1.apk
77.22KB
2025-09-05 14:51:06
ckb-next-daemon-openrc-0.6.2-r1.apk
1.84KB
2025-09-05 14:51:06
ckb-next-dev-0.6.2-r1.apk
4.93KB
2025-09-05 14:51:06
clatd-1.6-r0.apk
12.61KB
2024-10-25 23:06:01
clementine-1.4.1_git20250503-r0.apk
5.95MB
2025-06-12 15:08:48
clevis-21-r0.apk
50.87KB
2025-01-25 09:03:33
clevis-bash-completion-21-r0.apk
2.04KB
2025-01-25 09:03:33
clevis-dbg-21-r0.apk
62.05KB
2025-01-25 09:03:33
clevis-doc-21-r0.apk
23.17KB
2025-01-25 09:03:33
clevis-extra-pins-0_git20230629-r0.apk
4.63KB
2024-10-25 23:06:01
click-0.5.2-r4.apk
155.21KB
2025-02-17 14:07:12
click-dev-0.5.2-r4.apk
9.13KB
2025-02-17 14:07:12
click-doc-0.5.2-r4.apk
3.31KB
2025-02-17 14:07:12
click-pyc-0.5.2-r4.apk
174.77KB
2025-02-17 14:07:12
clinfo-3.0.23.01.25-r0.apk
44.86KB
2024-10-25 23:06:01
clinfo-doc-3.0.23.01.25-r0.apk
6.47KB
2024-10-25 23:06:01
cliphist-0.7.0-r1.apk
0.98MB
2025-12-04 15:54:08
cliphist-fzf-0.7.0-r1.apk
1.80KB
2025-12-04 15:54:08
clipit-1.4.5-r3.apk
65.00KB
2024-10-25 23:06:01
clipit-doc-1.4.5-r3.apk
2.39KB
2024-10-25 23:06:01
cliquer-1.23-r0.apk
7.05KB
2025-08-12 07:15:22
cliquer-dev-1.23-r0.apk
7.50KB
2025-08-12 07:15:22
cliquer-libs-1.23-r0.apk
22.85KB
2025-08-12 07:15:22
cliquer-static-1.23-r0.apk
25.14KB
2025-08-12 07:15:22
cliquer-tests-1.23-r0.apk
24.00KB
2025-08-12 07:15:22
cln-1.3.7-r1.apk
444.56KB
2025-05-25 10:49:40
cln-dev-1.3.7-r1.apk
1.19MB
2025-05-25 10:49:40
cln-doc-1.3.7-r1.apk
77.34KB
2025-05-25 10:49:40
cloudflared-2024.12.1-r11.apk
9.01MB
2025-12-04 15:54:08
cloudflared-doc-2024.12.1-r11.apk
1.91KB
2025-12-04 15:54:08
cloudflared-openrc-2024.12.1-r11.apk
1.79KB
2025-12-04 15:54:08
cloudfoundry-cli-8.7.9-r14.apk
8.81MB
2025-12-04 15:54:08
cluster-glue-1.0.12-r5.apk
257.32KB
2024-10-25 23:06:02
cluster-glue-dev-1.0.12-r5.apk
1.01MB
2024-10-25 23:06:02
cluster-glue-doc-1.0.12-r5.apk
32.93KB
2024-10-25 23:06:02
cluster-glue-libs-1.0.12-r5.apk
113.87KB
2024-10-25 23:06:02
cmusfm-0.5.0-r1.apk
14.78KB
2025-08-27 07:13:59
cobang-1.8.1-r0.apk
40.84KB
2025-10-23 08:12:22
cobang-lang-1.8.1-r0.apk
10.14KB
2025-10-23 08:12:22
coccinelle-1.1.1-r2.apk
6.77MB
2024-10-25 23:06:02
coccinelle-bash-completion-1.1.1-r2.apk
2.85KB
2024-10-25 23:06:02
coccinelle-doc-1.1.1-r2.apk
15.97KB
2024-10-25 23:06:02
cocogitto-6.5.0-r0.apk
1.86MB
2025-11-02 20:21:58
cocogitto-bash-completion-6.5.0-r0.apk
3.17KB
2025-11-02 20:21:58
cocogitto-doc-6.5.0-r0.apk
39.50KB
2025-11-02 20:21:58
cocogitto-fish-completion-6.5.0-r0.apk
3.75KB
2025-11-02 20:21:58
cocogitto-zsh-completion-6.5.0-r0.apk
3.17KB
2025-11-02 20:21:58
code-minimap-0.6.7-r0.apk
365.91KB
2024-12-12 21:36:30
code-minimap-doc-0.6.7-r0.apk
7.99KB
2024-12-12 21:36:30
codec2-1.2.0-r1.apk
665.98KB
2025-11-22 20:51:31
codec2-dev-1.2.0-r1.apk
15.41KB
2025-11-22 20:51:31
colormake-0.9.20170221-r0.apk
4.03KB
2024-10-25 23:06:02
colormake-doc-0.9.20170221-r0.apk
2.67KB
2024-10-25 23:06:02
colorpicker-0_git20201128-r1.apk
4.16KB
2024-10-25 23:06:02
comet-0.3.1-r0.apk
2.81MB
2025-11-29 21:49:46
comics-downloader-0.33.8-r15.apk
3.78MB
2025-12-04 15:54:08
comics-downloader-gui-0.33.8-r15.apk
5.49MB
2025-12-04 15:54:08
commit-lsp-0.1.0-r0.apk
2.12MB
2025-05-15 00:13:50
commoncpp-7.0.1-r1.apk
243.86KB
2024-10-25 23:06:02
commoncpp-dev-7.0.1-r1.apk
173.03KB
2024-10-25 23:06:02
commoncpp-doc-7.0.1-r1.apk
14.83KB
2024-10-25 23:06:02
commoncpp-tools-7.0.1-r1.apk
38.08KB
2024-10-25 23:06:02
compiz-0.9.14.2-r13.apk
5.50MB
2025-11-29 02:00:57
compiz-dev-0.9.14.2-r13.apk
117.23KB
2025-11-29 02:00:57
compiz-lang-0.9.14.2-r13.apk
1.21MB
2025-11-29 02:00:57
compiz-pyc-0.9.14.2-r13.apk
111.44KB
2025-11-29 02:00:57
compiz-utils-0.9.14.2-r13.apk
3.34KB
2025-11-29 02:00:57
comrak-0.49.0-r0.apk
1.01MB
2025-12-14 16:23:51
comrak-doc-0.49.0-r0.apk
10.64KB
2025-12-14 16:23:51
conntracct-0.2.7-r36.apk
5.00MB
2025-12-04 15:54:08
conntracct-openrc-0.2.7-r36.apk
1.92KB
2025-12-04 15:54:08
console_bridge-1.0.2-r0.apk
9.18KB
2024-10-25 23:06:02
console_bridge-dev-1.0.2-r0.apk
4.73KB
2024-10-25 23:06:02
consul-replicate-0.4.0-r36.apk
2.91MB
2025-12-04 15:54:08
contractor-0.3.5-r0.apk
24.24KB
2024-11-12 23:54:01
convert2json-2.4.1-r0.apk
1.33KB
2025-12-15 18:19:12
convert2json-bson-2.4.1-r0.apk
1.28KB
2025-12-15 18:19:12
convert2json-bson-jaq-2.4.1-r0.apk
261.42KB
2025-12-15 18:19:12
convert2json-bson-json-2.4.1-r0.apk
253.80KB
2025-12-15 18:19:12
convert2json-cbor-2.4.1-r0.apk
1.29KB
2025-12-15 18:19:12
convert2json-cbor-jaq-2.4.1-r0.apk
225.65KB
2025-12-15 18:19:12
convert2json-cbor-json-2.4.1-r0.apk
217.16KB
2025-12-15 18:19:12
convert2json-csv-2.4.1-r0.apk
1.28KB
2025-12-15 18:19:12
convert2json-csv-jaq-2.4.1-r0.apk
243.71KB
2025-12-15 18:19:12
convert2json-csv-json-2.4.1-r0.apk
235.42KB
2025-12-15 18:19:12
convert2json-doc-2.4.1-r0.apk
13.39KB
2025-12-15 18:19:12
convert2json-ini-2.4.1-r0.apk
1.28KB
2025-12-15 18:19:12
convert2json-ini-jaq-2.4.1-r0.apk
205.44KB
2025-12-15 18:19:12
convert2json-ini-json-2.4.1-r0.apk
196.58KB
2025-12-15 18:19:12
convert2json-jaq-2.4.1-r0.apk
1.37KB
2025-12-15 18:19:12
convert2json-json-2.4.1-r0.apk
1.35KB
2025-12-15 18:19:12
convert2json-messagepack-2.4.1-r0.apk
1.29KB
2025-12-15 18:19:12
convert2json-messagepack-jaq-2.4.1-r0.apk
221.44KB
2025-12-15 18:19:12
convert2json-messagepack-json-2.4.1-r0.apk
213.44KB
2025-12-15 18:19:12
convert2json-plist-2.4.1-r0.apk
1.29KB
2025-12-15 18:19:12
convert2json-plist-jaq-2.4.1-r0.apk
263.76KB
2025-12-15 18:19:12
convert2json-plist-json-2.4.1-r0.apk
255.79KB
2025-12-15 18:19:12
convert2json-rsv-2.4.1-r0.apk
1.29KB
2025-12-15 18:19:12
convert2json-rsv-jaq-2.4.1-r0.apk
183.32KB
2025-12-15 18:19:12
convert2json-rsv-json-2.4.1-r0.apk
173.85KB
2025-12-15 18:19:12
convert2json-toml-2.4.1-r0.apk
1.29KB
2025-12-15 18:19:12
convert2json-toml-jaq-2.4.1-r0.apk
262.96KB
2025-12-15 18:19:12
convert2json-toml-json-2.4.1-r0.apk
254.71KB
2025-12-15 18:19:12
convert2json-xml-2.4.1-r0.apk
1.29KB
2025-12-15 18:19:12
convert2json-xml-jaq-2.4.1-r0.apk
218.54KB
2025-12-15 18:19:12
convert2json-xml-json-2.4.1-r0.apk
210.65KB
2025-12-15 18:19:12
convert2json-yaml-2.4.1-r0.apk
1.28KB
2025-12-15 18:19:12
convert2json-yaml-jaq-2.4.1-r0.apk
290.81KB
2025-12-15 18:19:12
convert2json-yaml-json-2.4.1-r0.apk
282.65KB
2025-12-15 18:19:12
corosync-3.1.9-r0.apk
260.98KB
2025-05-29 06:16:51
corosync-dev-3.1.9-r0.apk
426.66KB
2025-05-29 06:16:51
corosync-doc-3.1.9-r0.apk
190.26KB
2025-05-29 06:16:51
corosync-openrc-3.1.9-r0.apk
1.78KB
2025-05-29 06:16:51
cortex-tenant-1.15.7-r2.apk
4.17MB
2025-12-04 15:54:08
cortex-tenant-openrc-1.15.7-r2.apk
2.04KB
2025-12-04 15:54:08
cowsay-3.04-r2.apk
18.37KB
2024-10-25 23:06:02
cowsay-doc-3.04-r2.apk
4.00KB
2024-10-25 23:06:02
cpdf-2.8.1-r0.apk
2.05MB
2025-05-15 00:13:50
cpdf-doc-2.8.1-r0.apk
558.01KB
2025-05-15 00:13:50
cpiped-0.1.0-r0.apk
6.78KB
2024-10-25 23:06:02
cpp-httplib-0.28.0-r0.apk
84.85KB
2025-11-26 15:44:45
cpp-httplib-doc-0.28.0-r0.apk
13.43KB
2025-11-26 15:44:45
cpplint-2.0.2-r0.apk
80.29KB
2025-04-14 02:28:46
cpplint-pyc-2.0.2-r0.apk
99.49KB
2025-04-14 02:28:46
cpuburn-1.4a_git20160316-r2.apk
10.77KB
2024-10-25 23:06:02
cpufetch-1.07-r0.apk
48.09KB
2025-11-01 12:01:06
cpufetch-doc-1.07-r0.apk
3.18KB
2025-11-01 12:01:06
crazydiskinfo-1.1.0-r1.apk
29.57KB
2024-10-25 23:06:02
createrepo_c-1.1.4-r0.apk
46.78KB
2024-10-25 23:06:02
createrepo_c-bash-completion-1.1.4-r0.apk
2.88KB
2024-10-25 23:06:02
createrepo_c-dev-1.1.4-r0.apk
31.47KB
2024-10-25 23:06:02
createrepo_c-doc-1.1.4-r0.apk
8.65KB
2024-10-25 23:06:02
createrepo_c-libs-1.1.4-r0.apk
90.56KB
2024-10-25 23:06:02
crispy-doom-7.1-r0.apk
1.78MB
2025-09-24 05:49:21
crispy-doom-doc-7.1-r0.apk
107.39KB
2025-09-24 05:49:21
crossplane-0.5.8-r3.apk
30.20KB
2024-10-25 23:06:03
crossplane-pyc-0.5.8-r3.apk
39.25KB
2024-10-25 23:06:03
crowdsec-1.7.4-r0.apk
39.22MB
2025-12-05 03:55:24
crowdsec-email-plugin-1.7.4-r0.apk
6.13MB
2025-12-05 03:55:24
crowdsec-file-plugin-1.7.4-r0.apk
6.09MB
2025-12-05 03:55:24
crowdsec-http-plugin-1.7.4-r0.apk
6.09MB
2025-12-05 03:55:24
crowdsec-openrc-1.7.4-r0.apk
1.80KB
2025-12-05 03:55:24
crowdsec-sentinel-plugin-1.7.4-r0.apk
6.09MB
2025-12-05 03:55:24
crowdsec-slack-plugin-1.7.4-r0.apk
6.13MB
2025-12-05 03:55:24
crowdsec-splunk-plugin-1.7.4-r0.apk
6.08MB
2025-12-05 03:55:24
crun-vm-0.3.0-r0.apk
1.12MB
2024-11-12 13:34:16
crun-vm-doc-0.3.0-r0.apk
12.89KB
2024-11-12 13:34:16
cscope-15.9-r1.apk
152.59KB
2024-10-25 23:06:04
cscope-doc-15.9-r1.apk
7.49KB
2024-10-25 23:06:04
csfml-2.5.2-r0.apk
88.83KB
2024-10-25 23:06:04
csfml-dev-2.5.2-r0.apk
77.18KB
2024-10-25 23:06:04
csfml-doc-2.5.2-r0.apk
203.99KB
2024-10-25 23:06:04
csmith-2.3.0-r2.apk
300.67KB
2024-10-25 23:06:04
csmith-doc-2.3.0-r2.apk
3.07KB
2024-10-25 23:06:04
csol-1.6.0-r0.apk
35.65KB
2024-10-25 23:06:04
csol-doc-1.6.0-r0.apk
3.85KB
2024-10-25 23:06:04
ctorrent-dnh-3.3.2-r2.apk
89.38KB
2024-10-25 23:06:04
cups-pdf-3.0.2-r0.apk
21.58KB
2025-07-05 00:11:42
curlftpfs-0.9.2-r3.apk
25.57KB
2024-10-25 23:06:04
curlftpfs-doc-0.9.2-r3.apk
6.12KB
2024-10-25 23:06:04
curtail-1.13.0-r0.apk
30.36KB
2025-07-05 23:39:58
curtail-lang-1.13.0-r0.apk
77.52KB
2025-07-05 23:39:58
cutechess-1.3.1-r0.apk
1.05MB
2024-10-25 23:06:04
cutechess-cli-1.3.1-r0.apk
328.78KB
2024-10-25 23:06:04
cutechess-cli-doc-1.3.1-r0.apk
6.56KB
2024-10-25 23:06:04
cutechess-doc-1.3.1-r0.apk
3.54KB
2024-10-25 23:06:04
cvise-2.11.0-r0.apk
4.56MB
2025-03-08 15:13:02
cvise-pyc-2.11.0-r0.apk
59.70KB
2025-03-08 15:13:02
cvs-fast-export-1.65-r0.apk
47.59KB
2024-10-25 23:06:04
cvs-fast-export-doc-1.65-r0.apk
17.43KB
2024-10-25 23:06:04
cvs-fast-export-tools-1.65-r0.apk
8.64KB
2024-10-25 23:06:04
cyrus-sasl-xoauth2-0.2-r1.apk
6.25KB
2024-10-25 23:06:04
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2.28KB
2024-10-25 23:06:04
cyrus-sasl-xoauth2-static-0.2-r1.apk
6.72KB
2024-10-25 23:06:04
cz-viator-hourglass-black-20210706-r0.apk
219.05KB
2024-10-25 23:06:04
daemontools-0.76-r3.apk
50.76KB
2024-10-25 23:06:04
daemontools-openrc-0.76-r3.apk
1.96KB
2024-10-25 23:06:04
daktilo-0.6.0-r0.apk
1.80MB
2024-10-25 23:06:04
daktilo-bash-completion-0.6.0-r0.apk
2.16KB
2024-10-25 23:06:04
daktilo-doc-0.6.0-r0.apk
8.66KB
2024-10-25 23:06:04
daktilo-fish-completion-0.6.0-r0.apk
1.94KB
2024-10-25 23:06:04
daktilo-zsh-completion-0.6.0-r0.apk
2.27KB
2024-10-25 23:06:04
darkreader-4.9.110-r0.apk
767.41KB
2025-08-21 11:58:54
darts-clone-0.32h-r0.apk
37.37KB
2025-07-06 10:09:17
darts-clone-dev-0.32h-r0.apk
13.19KB
2025-07-06 10:09:17
dasht-2.4.0-r0.apk
14.21KB
2024-10-25 23:06:04
dasht-doc-2.4.0-r0.apk
11.38KB
2024-10-25 23:06:04
dasht-zsh-completion-2.4.0-r0.apk
2.06KB
2024-10-25 23:06:04
davmail-6.5.1-r0.apk
8.32MB
2025-11-14 23:17:25
dbmate-2.28.0-r1.apk
10.62MB
2025-12-04 15:54:11
dbmate-doc-2.28.0-r1.apk
2.26KB
2025-12-04 15:54:11
dbus-broker-37-r0.apk
84.65KB
2025-06-17 13:17:08
dbus-broker-doc-37-r0.apk
5.87KB
2025-06-17 13:17:08
dcmtk-3.6.9-r0.apk
1.24MB
2025-04-08 01:06:02
dcmtk-dev-3.6.9-r0.apk
1.62MB
2025-04-08 01:06:02
dcmtk-doc-3.6.9-r0.apk
257.43KB
2025-04-08 01:06:02
dcmtk-openrc-3.6.9-r0.apk
1.69KB
2025-04-08 01:06:02
dcnnt-0.10.0-r1.apk
27.78KB
2024-10-25 23:06:04
dcnnt-doc-0.10.0-r1.apk
6.59KB
2024-10-25 23:06:04
dcnnt-pyc-0.10.0-r1.apk
61.67KB
2024-10-25 23:06:04
ddcci-driver-linux-src-0.4.5-r2.apk
19.11KB
2025-03-19 13:44:35
ddgr-2.2-r0.apk
20.07KB
2024-10-25 23:06:04
ddgr-bash-completion-2.2-r0.apk
2.20KB
2024-10-25 23:06:04
ddgr-doc-2.2-r0.apk
11.52KB
2024-10-25 23:06:04
ddgr-fish-completion-2.2-r0.apk
2.28KB
2024-10-25 23:06:04
ddgr-zsh-completion-2.2-r0.apk
2.67KB
2024-10-25 23:06:04
ddserver-0_git20200930-r1.apk
11.23KB
2024-10-25 23:06:04
deadbeef-soxr-20180801-r0.apk
5.33KB
2024-10-25 23:06:04
debconf-1.5.82-r0.apk
69.41KB
2024-10-25 23:06:04
debconf-bash-completion-1.5.82-r0.apk
1.86KB
2024-10-25 23:06:04
debconf-doc-1.5.82-r0.apk
26.80KB
2024-10-25 23:06:04
debconf-lang-1.5.82-r0.apk
132.43KB
2024-10-25 23:06:04
debconf-utils-1.5.82-r0.apk
6.66KB
2024-10-25 23:06:04
decoder-0.7.0-r0.apk
2.02MB
2025-04-10 16:22:49
decoder-lang-0.7.0-r0.apk
58.97KB
2025-04-10 16:22:49
dehydrated-0.7.1-r0.apk
26.44KB
2024-10-25 23:06:04
desed-1.2.1-r1.apk
400.41KB
2024-10-25 23:06:04
desed-doc-1.2.1-r1.apk
2.88KB
2024-10-25 23:06:04
desync-0.9.6-r10.apk
7.48MB
2025-12-04 15:54:11
detox-2.0.0-r0.apk
111.32KB
2024-10-25 23:06:04
detox-doc-2.0.0-r0.apk
20.71KB
2024-10-25 23:06:04
deviced-0_git20250427-r0.apk
114.97KB
2025-07-05 23:03:55
deviced-dev-0_git20250427-r0.apk
26.09KB
2025-07-05 23:03:55
deviced-openrc-0_git20250427-r0.apk
1.70KB
2025-07-05 23:03:55
devil-1.8.0-r0.apk
228.20KB
2024-10-25 23:06:04
devil-dev-1.8.0-r0.apk
12.88KB
2024-10-25 23:06:04
dewduct-0.2.3-r0.apk
1.10MB
2024-10-25 23:06:04
dfl-applications-0.3.0-r0.apk
62.52KB
2025-08-21 10:47:58
dfl-applications-dev-0.3.0-r0.apk
3.93KB
2025-08-21 10:47:58
dfl-ipc-0.3.0-r0.apk
46.18KB
2025-08-21 10:47:58
dfl-ipc-dev-0.3.0-r0.apk
4.79KB
2025-08-21 10:47:58
dfl-login1-0.3.0-r0.apk
33.07KB
2025-08-21 10:47:58
dfl-login1-dev-0.3.0-r0.apk
3.70KB
2025-08-21 10:47:58
dfl-sni-0.3.0-r0.apk
57.34KB
2025-08-21 10:47:58
dfl-sni-dev-0.3.0-r0.apk
4.95KB
2025-08-21 10:47:58
dfu-programmer-1.1.0-r0.apk
37.06KB
2024-10-25 23:06:04
dfu-programmer-bash-completion-1.1.0-r0.apk
2.76KB
2024-10-25 23:06:04
dfu-programmer-doc-1.1.0-r0.apk
5.74KB
2024-10-25 23:06:04
dhewm3-1.5.4-r0.apk
4.96MB
2025-02-17 14:07:15
diceware-1.0.1-r0.apk
334.02KB
2025-01-14 00:49:51
diceware-pyc-1.0.1-r0.apk
18.05KB
2025-01-14 00:49:51
disfetch-3.7-r0.apk
8.29KB
2024-10-25 23:06:04
diskus-0.8.0-r0.apk
357.58KB
2025-05-19 01:15:23
dislocker-0.7.3-r6.apk
11.84KB
2025-07-24 04:27:26
dislocker-doc-0.7.3-r6.apk
6.02KB
2025-07-24 04:27:26
dislocker-libs-0.7.3-r6.apk
44.72KB
2025-07-24 04:27:26
dive-0.13.0-r7.apk
3.87MB
2025-12-04 15:54:11
dlib-19.24.4-r0.apk
755.19KB
2024-10-25 23:06:04
dlib-dev-19.24.4-r0.apk
2.43MB
2024-10-25 23:06:05
dmarc-cat-0.15.0-r10.apk
2.78MB
2025-12-04 15:54:11
dmarc-metrics-exporter-1.2.0-r0.apk
25.27KB
2024-11-30 00:04:44
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
1.85KB
2024-11-30 00:04:44
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
46.46KB
2024-11-30 00:04:44
dmenu-wl-0.1-r0.apk
16.19KB
2025-07-02 13:32:48
dmenu-wl-doc-0.1-r0.apk
4.08KB
2025-07-02 13:32:48
dnscontrol-4.28.2-r0.apk
16.41MB
2025-12-12 18:32:00
dnscontrol-doc-4.28.2-r0.apk
2.28KB
2025-12-12 18:32:00
dnscrypt-wrapper-0.4.2-r3.apk
29.23KB
2024-10-25 23:06:05
dnsenum-1.3.2-r0.apk
21.23KB
2024-10-25 23:06:05
dnsenum-doc-1.3.2-r0.apk
5.24KB
2024-10-25 23:06:05
dnsperf-2.14.0-r0.apk
78.99KB
2024-10-25 23:06:05
dnsperf-doc-2.14.0-r0.apk
34.92KB
2024-10-25 23:06:05
dnssec-tools-2.2.3-r13.apk
743.51KB
2025-06-30 10:14:28
dnssec-tools-dev-2.2.3-r13.apk
180.89KB
2025-06-30 10:14:28
dnssec-tools-doc-2.2.3-r13.apk
317.02KB
2025-06-30 10:14:28
doasedit-1.0.9-r0.apk
3.46KB
2025-10-31 13:58:22
docker-volume-local-persist-1.3.0-r38.apk
2.57MB
2025-12-04 15:54:11
docker-volume-local-persist-openrc-1.3.0-r38.apk
1.79KB
2025-12-04 15:54:11
dockerize-0.9.6-r2.apk
3.40MB
2025-12-04 15:54:11
dooit-3.3.3-r0.apk
45.90KB
2025-10-27 10:13:33
dooit-extras-0.2.0-r0.apk
12.73KB
2024-12-07 22:22:51
dooit-extras-pyc-0.2.0-r0.apk
22.79KB
2024-12-07 22:22:51
dooit-pyc-3.3.3-r0.apk
103.15KB
2025-10-27 10:13:33
dotenv-linter-4.0.0-r0.apk
1.30MB
2025-11-22 18:03:21
downloader-cli-0.3.4-r2.apk
1.98KB
2025-05-15 00:13:53
draco-1.5.7-r2.apk
779.91KB
2025-02-17 14:07:15
draco-dev-1.5.7-r2.apk
204.71KB
2025-02-17 14:07:15
draco-static-1.5.7-r2.apk
1.63MB
2025-02-17 14:07:15
draco-tools-1.5.7-r2.apk
1.18MB
2025-02-17 14:07:15
draw-0.1.1-r18.apk
0.99MB
2025-12-04 15:54:11
drogon-1.9.4-r2.apk
1.41MB
2025-05-22 09:53:22
drogon-dev-1.9.4-r2.apk
121.35KB
2025-05-22 09:53:22
drogon-doc-1.9.4-r2.apk
2.27KB
2025-05-22 09:53:22
droidcam-2.1.3-r3.apk
18.18KB
2025-09-27 16:41:04
droidcam-gui-2.1.3-r3.apk
27.35KB
2025-09-27 16:41:04
drone-cli-1.8.0-r15.apk
5.58MB
2025-12-04 15:54:12
dropwatch-1.5.5-r2.apk
15.17KB
2025-12-04 15:54:12
dropwatch-doc-1.5.5-r2.apk
3.71KB
2025-12-04 15:54:12
drumgizmo-0.9.20-r1.apk
379.24KB
2024-10-25 23:06:05
drupal7-7.103-r0.apk
3.28MB
2024-12-04 19:28:18
drupal7-doc-7.103-r0.apk
57.46KB
2024-12-04 19:28:18
dsp-2.0-r2.apk
152.79KB
2025-09-27 16:41:04
dsp-doc-2.0-r2.apk
10.13KB
2025-09-27 16:41:04
dstask-0.27-r4.apk
1.51MB
2025-12-04 15:54:12
dstask-bash-completion-0.27-r4.apk
2.19KB
2025-12-04 15:54:12
dstask-fish-completion-0.27-r4.apk
1.67KB
2025-12-04 15:54:12
dstask-import-0.27-r4.apk
3.50MB
2025-12-04 15:54:12
dstask-zsh-completion-0.27-r4.apk
1.66KB
2025-12-04 15:54:12
dublin-traceroute-0.4.2-r4.apk
44.71KB
2024-10-25 23:06:05
dublin-traceroute-contrib-0.4.2-r4.apk
2.86KB
2024-10-25 23:06:05
dublin-traceroute-dev-0.4.2-r4.apk
6.89KB
2024-10-25 23:06:05
dublin-traceroute-doc-0.4.2-r4.apk
2.30KB
2024-10-25 23:06:05
duc-1.4.5-r0.apk
86.33KB
2024-10-25 23:06:05
duc-doc-1.4.5-r0.apk
9.06KB
2024-10-25 23:06:05
duf-0.9.1-r2.apk
1.21MB
2025-12-04 15:54:12
duf-doc-0.9.1-r2.apk
4.72KB
2025-12-04 15:54:12
dulcepan-1.0.2-r0.apk
19.76KB
2024-10-25 23:06:05
dum-0.1.20-r1.apk
356.66KB
2025-03-29 16:14:19
dune-deps-1.3.0-r2.apk
795.82KB
2024-10-25 23:06:05
dustracing2d-2.1.1-r1.apk
5.09MB
2024-10-25 23:06:05
dvdbackup-0.4.2-r1.apk
17.08KB
2024-10-25 23:06:05
dvdbackup-doc-0.4.2-r1.apk
7.58KB
2024-10-25 23:06:05
dvdbackup-lang-0.4.2-r1.apk
1.43KB
2024-10-25 23:06:05
dvisvgm-3.4.4-r0.apk
1.06MB
2025-05-19 01:44:20
dvisvgm-doc-3.4.4-r0.apk
26.08KB
2025-05-19 01:44:20
dwl-0.7-r0.apk
25.67KB
2024-10-25 23:06:05
dwl-doc-0.7-r0.apk
3.13KB
2024-10-25 23:06:05
e16-1.0.30-r0.apk
773.23KB
2024-11-05 15:22:02
e16-doc-1.0.30-r0.apk
27.25KB
2024-11-05 15:22:02
e16-lang-1.0.30-r0.apk
379.63KB
2024-11-05 15:22:02
eatmemory-0.1.6-r2.apk
4.22KB
2024-10-25 23:06:05
ecasound-2.9.3-r4.apk
652.78KB
2025-02-25 12:17:09
ecasound-dev-2.9.3-r4.apk
1.17MB
2025-02-25 12:17:09
ecasound-doc-2.9.3-r4.apk
38.45KB
2025-02-25 12:17:09
ecos-2.0.10-r0.apk
37.93KB
2024-10-25 23:06:06
ecos-dev-2.0.10-r0.apk
27.92KB
2024-10-25 23:06:06
edit-1.2.1-r0.apk
256.17KB
2025-10-16 16:17:20
edit-doc-1.2.1-r0.apk
2.25KB
2025-10-16 16:17:20
edward-1.1.0-r0.apk
1.86MB
2024-10-25 23:06:06
edward-doc-1.1.0-r0.apk
5.27KB
2024-10-25 23:06:06
efl-1.28.1-r2.apk
33.90MB
2025-03-23 17:03:55
efl-dev-1.28.1-r2.apk
1.82MB
2025-03-23 17:03:55
efl-gdb-1.28.1-r2.apk
1.69KB
2025-03-23 17:03:55
eiwd-3.10-r0.apk
789.92KB
2025-10-08 00:03:34
eiwd-doc-3.10-r0.apk
20.51KB
2025-10-08 00:03:34
eiwd-openrc-3.10-r0.apk
1.88KB
2025-10-08 00:03:34
elementary-calculator-8.0.1-r0.apk
69.76KB
2025-09-02 05:29:44
elementary-calculator-lang-8.0.1-r0.apk
59.50KB
2025-09-02 05:29:44
elementary-camera-8.0.2-r0.apk
84.74KB
2025-09-02 05:25:31
elementary-camera-lang-8.0.2-r0.apk
35.17KB
2025-09-02 05:25:31
elementary-dock-8.0.2-r0.apk
83.64KB
2025-05-25 01:57:46
elementary-dock-lang-8.0.2-r0.apk
27.56KB
2025-05-25 01:57:46
elementary-feedback-8.1.0-r0.apk
45.59KB
2025-11-22 17:38:48
elementary-feedback-lang-8.1.0-r0.apk
48.48KB
2025-11-22 17:38:48
elementary-icon-theme-8.1.0-r0.apk
5.05MB
2025-05-15 00:13:54
elementary-music-8.0.0-r0.apk
71.45KB
2024-10-29 00:05:58
elementary-music-lang-8.0.0-r0.apk
47.48KB
2024-10-29 00:05:58
elementary-photos-8.0.1-r0.apk
1.10MB
2024-12-03 00:59:40
elementary-photos-lang-8.0.1-r0.apk
1.00MB
2024-12-03 00:59:40
elementary-settings-daemon-8.3.0-r0.apk
77.09KB
2025-06-15 07:01:38
elementary-settings-daemon-lang-8.3.0-r0.apk
74.03KB
2025-06-15 07:01:38
elementary-settings-daemon-openrc-8.3.0-r0.apk
1.80KB
2025-06-15 07:01:38
elementary-sound-theme-1.1.0-r0.apk
83.07KB
2024-11-11 00:07:57
elementary-theme-8.1.0-r0.apk
1.50MB
2025-01-12 22:36:02
elementary-videos-8.0.2-r0.apk
110.69KB
2025-09-02 05:28:21
elementary-videos-lang-8.0.2-r0.apk
83.41KB
2025-09-02 05:28:21
elf_diff-0.7.1-r3.apk
108.28KB
2024-10-25 23:06:06
elf_diff-pyc-0.7.1-r3.apk
107.97KB
2024-10-25 23:06:06
eludris-0.3.3-r1.apk
1.73MB
2024-10-25 23:06:06
eludris-doc-0.3.3-r1.apk
2.29KB
2024-10-25 23:06:06
emacs-ace-window-0.10.0_git20220911-r0.apk
22.75KB
2024-10-25 23:06:06
emacs-avy-0.5.0_git20230420-r0.apk
43.42KB
2024-10-25 23:06:06
emacs-avy-embark-collect-1.1-r0.apk
3.82KB
2025-04-23 08:42:49
emacs-centaur-tabs-3.2_git20230601-r0.apk
55.05KB
2024-10-25 23:06:06
emacs-closql-1.2.1_git20240712-r0.apk
14.37KB
2024-10-25 23:06:06
emacs-company-1.0.2-r0.apk
159.39KB
2025-12-12 12:06:38
emacs-company-wubi-0_git20161031-r0.apk
1.10MB
2025-12-12 12:06:38
emacs-consult-1.4_git20240405-r0.apk
137.76KB
2024-10-25 23:06:06
emacs-derl-0_git20231004-r1.apk
23.44KB
2025-07-29 07:35:44
emacs-elfeed-3.4.2-r0.apk
90.55KB
2025-04-23 08:13:52
emacs-emacsql-3.1.1_git20240714-r0.apk
22.60KB
2024-10-25 23:06:06
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
6.06KB
2024-10-25 23:06:06
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
5.85KB
2024-10-25 23:06:06
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
17.18KB
2024-10-25 23:06:06
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
4.29KB
2024-10-25 23:06:06
emacs-embark-1.1-r0.apk
110.90KB
2025-04-23 08:42:49
emacs-embark-consult-1.1-r0.apk
10.41KB
2025-04-23 08:42:49
emacs-ement-0.16-r0.apk
290.71KB
2025-04-23 08:13:52
emacs-epkg-3.3.3_git20240713-r0.apk
36.82KB
2024-10-25 23:06:06
emacs-fossil-0_git20230504-r0.apk
14.63KB
2024-10-25 23:06:06
emacs-gnosis-0.3.2-r0.apk
62.14KB
2024-10-25 23:06:06
emacs-hackernews-0.7.0-r0.apk
15.51KB
2024-10-25 23:06:06
emacs-helm-3.9.7_git20240329-r0.apk
815.15KB
2024-10-25 23:06:06
emacs-hnreader-0_git20221116-r0.apk
9.75KB
2024-10-25 23:06:06
emacs-hydra-0.15.0_git20220910-r0.apk
45.94KB
2024-10-25 23:06:06
emacs-llama-1.0.2-r0.apk
12.60KB
2025-11-02 16:18:48
emacs-lsp-booster-0.2.1-r0.apk
424.58KB
2025-04-12 15:21:10
emacs-lsp-booster-doc-0.2.1-r0.apk
2.27KB
2025-04-12 15:21:10
emacs-persist-0.6_git20240114-r0.apk
6.61KB
2024-10-25 23:06:06
emacs-powerline-2.4_git20221110-r0.apk
28.90KB
2024-10-25 23:06:06
emacs-sqlite3-api-0.18-r0.apk
18.27KB
2024-10-25 23:06:06
emacs-svg-lib-0_git20240219-r0.apk
18.97KB
2024-10-25 23:06:06
emacs-taxy-0.10.2-r0.apk
11.46KB
2025-04-23 08:42:49
emacs-taxy-magit-section-0.14.3-r0.apk
17.69KB
2025-04-23 08:42:49
emacs-total-recall-0_git20250426-r0.apk
17.60KB
2025-04-30 11:17:48
emacs-total-recall-examples-0_git20250426-r0.apk
13.93KB
2025-04-30 11:17:48
empede-0.2.3-r0.apk
1.69MB
2024-10-25 23:06:06
empede-doc-0.2.3-r0.apk
2.26KB
2024-10-25 23:06:06
empede-openrc-0.2.3-r0.apk
1.90KB
2024-10-25 23:06:06
emulationstation-2.11.2-r1.apk
1.20MB
2025-03-11 19:54:38
emulationstation-theme-gbz35-2.11.2-r1.apk
3.25MB
2025-03-11 19:54:38
endeavour-43.0-r2.apk
177.55KB
2024-12-08 23:40:19
endeavour-dev-43.0-r2.apk
45.58KB
2024-12-08 23:40:19
endeavour-doc-43.0-r2.apk
68.07KB
2024-12-08 23:40:19
endeavour-lang-43.0-r2.apk
203.14KB
2024-12-08 23:40:19
endlessh-1.1-r1.apk
9.42KB
2025-05-25 10:49:40
endlessh-doc-1.1-r1.apk
2.66KB
2025-05-25 10:49:40
enjoy-0.3-r1.apk
10.54KB
2024-10-25 23:06:10
enlighten-0.9.2-r1.apk
7.28KB
2024-10-25 23:06:10
enlighten-doc-0.9.2-r1.apk
3.51KB
2024-10-25 23:06:10
envconsul-0.13.4-r1.apk
4.85MB
2025-12-04 15:54:12
envsubst-0.1-r1.apk
4.16KB
2024-10-25 23:06:10
epic6-0_git20250821-r0.apk
373.43KB
2025-09-05 09:56:05
epic6-doc-0_git20250821-r0.apk
17.20KB
2025-09-05 09:56:05
epic6-script-0_git20250821-r0.apk
148.98KB
2025-09-05 09:56:05
epoch-1.3.0-r2.apk
60.60KB
2024-10-25 23:06:10
epr-2.4.15-r1.apk
15.70KB
2024-10-25 23:06:10
epr-pyc-2.4.15-r1.apk
24.42KB
2024-10-25 23:06:10
ergo-ldap-0.0.1-r22.apk
2.24MB
2025-12-04 15:54:12
ergo-ldap-doc-0.0.1-r22.apk
2.29KB
2025-12-04 15:54:12
errands-46.2.9-r0.apk
84.10KB
2025-10-23 11:13:18
errands-lang-46.2.9-r0.apk
73.06KB
2025-10-23 11:13:18
espeakup-0.90-r2.apk
10.85KB
2024-10-25 23:06:10
espeakup-openrc-0.90-r2.apk
1.79KB
2024-10-25 23:06:10
esptool-4.8.1-r0.apk
424.01KB
2024-10-25 23:06:10
esptool-pyc-4.8.1-r0.apk
549.34KB
2024-10-25 23:06:10
ettercap-0.8.3.1-r3.apk
560.29KB
2024-10-25 23:06:10
ettercap-doc-0.8.3.1-r3.apk
45.12KB
2024-10-25 23:06:10
eva-0.3.1-r2.apk
622.54KB
2024-10-25 23:06:11
evolution-etesync-1.1.1-r0.apk
50.21KB
2025-09-27 22:40:45
evolution-etesync-lang-1.1.1-r0.apk
19.27KB
2025-09-27 22:40:45
evolution-on-3.24.4-r1.apk
10.26KB
2025-09-18 20:36:24
eww-0.6.0-r0.apk
3.06MB
2025-11-09 21:44:55
exabgp-4.2.24-r1.apk
384.82KB
2025-09-05 09:56:05
exabgp-doc-4.2.24-r1.apk
8.09KB
2025-09-05 09:56:05
exabgp-openrc-4.2.24-r1.apk
2.25KB
2025-09-05 09:56:05
exabgp-pyc-4.2.24-r1.apk
778.26KB
2025-09-05 09:56:05
exercism-3.2.0-r18.apk
4.08MB
2025-12-04 15:54:12
exercism-bash-completion-3.2.0-r18.apk
1.96KB
2025-12-04 15:54:12
exercism-fish-completion-3.2.0-r18.apk
2.36KB
2025-12-04 15:54:12
exercism-zsh-completion-3.2.0-r18.apk
2.11KB
2025-12-04 15:54:12
extrace-0.9-r0.apk
10.34KB
2024-10-25 23:06:11
extrace-doc-0.9-r0.apk
3.52KB
2024-10-25 23:06:11
extremetuxracer-0.8.3-r0.apk
39.53MB
2024-10-25 23:06:11
extremetuxracer-doc-0.8.3-r0.apk
6.68KB
2024-10-25 23:06:11
extundelete-0.2.4-r1.apk
41.62KB
2024-10-25 23:06:11
fabric-3.2.2-r1.apk
54.63KB
2024-10-25 23:06:11
fabric-pyc-3.2.2-r1.apk
60.15KB
2024-10-25 23:06:11
fakeroot-tcp-1.32.1-r1.apk
29.62KB
2024-10-25 23:06:11
fast-double-parser-0.8.1-r0.apk
25.32KB
2025-10-20 13:32:19
fastd-23-r0.apk
70.72KB
2025-01-27 23:33:09
fastd-doc-23-r0.apk
3.28KB
2025-01-27 23:33:09
fastd-openrc-23-r0.apk
1.70KB
2025-01-27 23:33:09
fatback-1.3-r2.apk
27.06KB
2024-10-25 23:06:11
fatback-doc-1.3-r2.apk
16.08KB
2024-10-25 23:06:11
fathom-1.3.1-r18.apk
4.79MB
2025-12-04 15:54:12
fatrace-0.18.0-r0.apk
10.26KB
2025-07-27 22:28:49
fatrace-doc-0.18.0-r0.apk
3.31KB
2025-07-27 22:28:49
fatresize-1.1.0-r1.apk
8.93KB
2024-10-25 23:06:11
fatresize-doc-1.1.0-r1.apk
15.20KB
2024-10-25 23:06:11
faultstat-0.01.11-r0.apk
13.17KB
2024-10-25 23:06:11
faultstat-bash-completion-0.01.11-r0.apk
2.28KB
2024-10-25 23:06:11
faultstat-doc-0.01.11-r0.apk
3.01KB
2024-10-25 23:06:11
faust-2.79.3-r0.apk
7.73MB
2025-06-07 20:02:17
faust-dev-2.79.3-r0.apk
1.37MB
2025-06-07 20:02:17
faust-doc-2.79.3-r0.apk
16.69MB
2025-06-07 20:02:17
faust-static-2.79.3-r0.apk
540.21KB
2025-06-07 20:02:17
faust-tools-2.79.3-r0.apk
120.71KB
2025-06-07 20:02:17
faust-vim-2.79.3-r0.apk
2.60KB
2025-06-07 20:02:17
fava-1.28-r0.apk
1.07MB
2024-10-25 23:06:12
fava-pyc-1.28-r0.apk
164.10KB
2024-10-25 23:06:12
fbcur-1.0.1-r1.apk
4.35KB
2024-10-25 23:06:12
fbcur-doc-1.0.1-r1.apk
2.17KB
2024-10-25 23:06:12
fceux-2.6.6-r4.apk
2.85MB
2025-09-27 16:41:04
fceux-doc-2.6.6-r4.apk
104.67KB
2025-09-27 16:41:04
fdm-materials-5.2.2-r1.apk
59.67KB
2024-10-25 23:06:12
featherpad-1.6.2-r0.apk
688.88KB
2025-11-28 21:40:39
featherpad-lang-1.6.2-r0.apk
485.18KB
2025-11-28 21:40:39
felix-2.16.1-r0.apk
678.79KB
2025-05-16 11:31:44
femto-2.24.1-r0.apk
66.33KB
2025-09-06 11:34:29
femto-doc-2.24.1-r0.apk
47.53KB
2025-09-06 11:34:29
fff-2.2-r0.apk
10.74KB
2024-10-25 23:06:12
fff-doc-2.2-r0.apk
8.99KB
2024-10-25 23:06:12
fflas-ffpack-2.5.0-r3.apk
345.33KB
2024-10-25 23:06:12
ffmpeg4-4.4.5-r4.apk
32.30KB
2025-10-19 12:50:26
ffmpeg4-dev-4.4.5-r4.apk
280.77KB
2025-10-19 12:50:26
ffmpeg4-libavcodec-4.4.5-r4.apk
6.31MB
2025-10-19 12:50:26
ffmpeg4-libavdevice-4.4.5-r4.apk
47.47KB
2025-10-19 12:50:26
ffmpeg4-libavfilter-4.4.5-r4.apk
1.43MB
2025-10-19 12:50:26
ffmpeg4-libavformat-4.4.5-r4.apk
1.19MB
2025-10-19 12:50:26
ffmpeg4-libavutil-4.4.5-r4.apk
292.92KB
2025-10-19 12:50:26
ffmpeg4-libpostproc-4.4.5-r4.apk
22.22KB
2025-10-19 12:50:26
ffmpeg4-libswresample-4.4.5-r4.apk
41.86KB
2025-10-19 12:50:26
ffmpeg4-libswscale-4.4.5-r4.apk
144.22KB
2025-10-19 12:50:26
ffms2-5.0-r2.apk
70.19KB
2025-09-27 16:41:04
ffms2-dev-5.0-r2.apk
7.53KB
2025-09-27 16:41:04
ffms2-doc-5.0-r2.apk
30.23KB
2025-09-27 16:41:04
ffsend-0.2.76-r4.apk
1.55MB
2024-10-25 23:06:12
ffsend-bash-completion-0.2.76-r4.apk
3.59KB
2024-10-25 23:06:12
ffsend-fish-completion-0.2.76-r4.apk
3.55KB
2024-10-25 23:06:12
ffsend-zsh-completion-0.2.76-r4.apk
4.54KB
2024-10-25 23:06:12
fheroes2-1.1.12-r0.apk
1.71MB
2025-11-09 17:39:21
fheroes2-lang-1.1.12-r0.apk
1.73MB
2025-11-09 17:39:21
fildesh-0.2.0-r0.apk
64.45KB
2024-10-25 23:06:12
fildesh-doc-0.2.0-r0.apk
2.10KB
2024-10-25 23:06:12
fildesh-vim-0.2.0-r0.apk
3.55KB
2024-10-25 23:06:12
filebrowser-2.27.0-r16.apk
7.38MB
2025-12-04 15:54:12
filebrowser-openrc-2.27.0-r16.apk
1.82KB
2025-12-04 15:54:12
fileshelter-6.2.0-r4.apk
300.02KB
2025-09-28 01:22:02
fileshelter-openrc-6.2.0-r4.apk
1.70KB
2025-09-28 01:22:02
filite-0.3.0-r2.apk
1.13MB
2024-10-25 23:06:12
findtow-0.1-r0.apk
4.47KB
2024-10-25 23:06:12
finger-0.5-r0.apk
6.40KB
2024-10-25 23:06:12
finger-doc-0.5-r0.apk
3.77KB
2024-10-25 23:06:12
firehol-3.1.7-r2.apk
84.75KB
2024-10-25 23:06:12
firehol-doc-3.1.7-r2.apk
674.59KB
2024-10-25 23:06:12
firehol-openrc-3.1.7-r2.apk
2.05KB
2024-10-25 23:06:12
flamelens-0.3.1-r0.apk
1.19MB
2025-04-29 22:46:39
flamelens-doc-0.3.1-r0.apk
3.66KB
2025-04-29 22:46:39
flann-1.9.2-r1.apk
1.53MB
2025-02-17 14:07:15
flann-dev-1.9.2-r1.apk
1.34MB
2025-02-17 14:07:15
flann-doc-1.9.2-r1.apk
2.53KB
2025-02-17 14:07:15
flare-engine-1.14-r1.apk
4.37MB
2025-11-05 13:39:16
flare-engine-doc-1.14-r1.apk
2.47KB
2025-11-05 13:39:16
flare-game-1.14-r0.apk
2.19KB
2024-10-25 23:06:12
flauschige-uhr-0.1-r1.apk
4.32KB
2024-10-25 23:06:12
flawz-0.3.0-r0.apk
1.23MB
2024-11-03 23:06:34
flawz-bash-completion-0.3.0-r0.apk
2.12KB
2024-11-03 23:06:34
flawz-doc-0.3.0-r0.apk
5.99KB
2024-11-03 23:06:34
flawz-fish-completion-0.3.0-r0.apk
1.91KB
2024-11-03 23:06:34
flawz-zsh-completion-0.3.0-r0.apk
2.23KB
2024-11-03 23:06:34
flint-3.4.0-r0.apk
3.87MB
2025-11-26 10:23:47
flint-dev-3.4.0-r0.apk
315.89KB
2025-11-26 10:23:47
flowd-0.9.1-r11.apk
83.63KB
2025-06-30 10:14:28
flowd-dev-0.9.1-r11.apk
8.08KB
2025-06-30 10:14:28
flowd-doc-0.9.1-r11.apk
10.09KB
2025-06-30 10:14:28
flowd-openrc-0.9.1-r11.apk
1.89KB
2025-06-30 10:14:28
fnf-0.1-r0.apk
15.01KB
2024-10-25 23:06:12
fnf-doc-0.1-r0.apk
4.57KB
2024-10-25 23:06:12
foma-0.10.0_git20240712-r0.apk
306.49KB
2024-10-25 23:06:12
foma-dev-0.10.0_git20240712-r0.apk
8.47KB
2024-10-25 23:06:12
font-andika-six-6.210-r0.apk
1.47MB
2025-09-28 14:20:20
font-anonymous-pro-1.002-r2.apk
264.48KB
2024-10-25 23:06:12
font-aref-ruqaa-1.006-r0.apk
357.31KB
2025-04-12 15:25:26
font-babelstone-han-15.1.3-r0.apk
18.31MB
2024-10-25 23:06:13
font-cascadia-2407.24-r1.apk
1.26KB
2025-05-27 17:36:35
font-cascadia-code-2407.24-r1.apk
526.16KB
2025-05-27 17:36:35
font-cascadia-mono-2407.24-r1.apk
506.84KB
2025-05-27 17:36:35
font-chivo-0_git20221110-r0.apk
792.35KB
2024-10-25 23:06:13
font-chivo-mono-0_git20221110-r0.apk
625.87KB
2024-10-25 23:06:13
font-comic-neue-2.51-r0.apk
248.77KB
2024-10-25 23:06:13
font-comic-neue-doc-2.51-r0.apk
0.98MB
2024-10-25 23:06:13
font-commit-mono-1.143-r0.apk
251.25KB
2024-10-25 23:06:13
font-cousine-0_git20210228-r0.apk
109.96KB
2024-10-25 23:06:13
font-fantasque-sans-1.8.0-r0.apk
1.23KB
2024-10-25 23:06:13
font-fantasque-sans-doc-1.8.0-r0.apk
5.54KB
2024-10-25 23:06:13
font-fantasque-sans-largelineheight-1.8.0-r0.apk
315.77KB
2024-10-25 23:06:13
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
315.79KB
2024-10-25 23:06:13
font-fantasque-sans-noloopk-1.8.0-r0.apk
315.78KB
2024-10-25 23:06:13
font-fantasque-sans-normal-1.8.0-r0.apk
315.77KB
2024-10-25 23:06:13
font-fira-code-6.2-r0.apk
836.00KB
2024-10-25 23:06:13
font-fira-code-vf-6.2-r0.apk
145.06KB
2024-10-25 23:06:13
font-firamath-0.3.4-r0.apk
118.32KB
2024-10-25 23:06:13
font-fontawesome-4-4.7.0-r3.apk
205.01KB
2024-10-25 23:06:13
font-hanazono-20170904-r2.apk
28.95MB
2025-09-15 16:00:02
font-intel-one-mono-1.3.0-r0.apk
281.42KB
2024-10-25 23:06:14
font-katex-0.16.2-r0.apk
851.56KB
2024-10-25 23:06:14
font-material-icons-4.0.0-r0.apk
651.85KB
2024-10-25 23:06:14
font-monaspace-1.101-r0.apk
1.46KB
2024-10-25 23:06:14
font-monaspace-argon-1.101-r0.apk
2.21MB
2024-10-25 23:06:14
font-monaspace-krypton-1.101-r0.apk
2.07MB
2024-10-25 23:06:14
font-monaspace-neon-1.101-r0.apk
2.14MB
2024-10-25 23:06:14
font-monaspace-radon-1.101-r0.apk
2.74MB
2024-10-25 23:06:14
font-monaspace-xenon-1.101-r0.apk
2.34MB
2024-10-25 23:06:14
font-monocraft-4.0-r0.apk
676.75KB
2024-10-25 23:06:14
font-openmoji-16.0.0-r0.apk
1.37MB
2025-08-11 09:31:05
font-siji-20190218_git-r2.apk
24.49KB
2024-10-25 23:06:14
font-stix-otf-2.13-r0.apk
2.04MB
2024-10-25 23:06:14
font-stix-ttf-2.13-r0.apk
430.03KB
2024-10-25 23:06:14
font-tamzen-1.11.5-r1.apk
61.86KB
2024-10-25 23:06:14
font-terminus-ttf-4.49.3-r0.apk
537.86KB
2025-07-15 11:22:15
font-tinos-0_git20210228-r0.apk
198.66KB
2024-10-25 23:06:14
font-tiresias-0_git20200704-r0.apk
568.27KB
2024-10-25 23:06:14
font-tiresias-doc-0_git20200704-r0.apk
58.15KB
2024-10-25 23:06:14
foolsm-1.0.21-r0.apk
32.97KB
2024-10-25 23:06:14
foolsm-doc-1.0.21-r0.apk
3.85KB
2024-10-25 23:06:14
foolsm-openrc-1.0.21-r0.apk
1.55KB
2024-10-25 23:06:14
formiko-1.5.0-r0.apk
106.96KB
2025-08-15 12:06:27
formiko-doc-1.5.0-r0.apk
8.54KB
2025-08-15 12:06:27
formiko-pyc-1.5.0-r0.apk
61.56KB
2025-08-15 12:06:27
fpc-3.2.2-r4.apk
68.52MB
2024-10-25 23:06:15
fpc-doc-3.2.2-r4.apk
1.24MB
2024-10-25 23:06:15
fpc-stage0-3.2.2-r3.apk
6.17MB
2024-10-25 23:06:15
fplll-5.5.0-r0.apk
46.50KB
2024-11-20 00:34:15
fplll-dev-5.5.0-r0.apk
77.96KB
2024-11-20 00:34:15
fplll-libs-5.5.0-r0.apk
6.14MB
2024-11-20 00:34:15
fplll-static-5.5.0-r0.apk
7.84MB
2024-11-20 00:34:16
fplll-strategies-5.5.0-r0.apk
1.71MB
2024-11-20 00:34:16
fpp-0.9.5-r0.apk
29.27KB
2024-10-25 23:06:16
fpp-doc-0.9.5-r0.apk
5.60KB
2024-10-25 23:06:16
fq-0.16.0-r0.apk
4.50MB
2025-12-12 21:57:34
freealut-1.1.0-r1.apk
16.74KB
2024-10-25 23:06:16
freealut-dev-1.1.0-r1.apk
21.82KB
2024-10-25 23:06:16
freediameter-1.5.0-r1.apk
9.58KB
2024-10-25 23:06:16
freediameter-dev-1.5.0-r1.apk
53.79KB
2024-10-25 23:06:16
freediameter-extensions-1.5.0-r1.apk
449.97KB
2024-10-25 23:06:16
freediameter-libfdcore-1.5.0-r1.apk
195.25KB
2024-10-25 23:06:16
freediameter-libfdproto-1.5.0-r1.apk
104.39KB
2024-10-25 23:06:16
frescobaldi-3.3.0-r1.apk
3.48MB
2024-10-25 23:06:16
frescobaldi-doc-3.3.0-r1.apk
2.48KB
2024-10-25 23:06:16
frescobaldi-pyc-3.3.0-r1.apk
1.20MB
2024-10-25 23:06:16
freshrss-1.27.1-r0.apk
1.63MB
2025-11-03 06:29:45
freshrss-doc-1.27.1-r0.apk
846.27KB
2025-11-03 06:29:45
freshrss-lang-1.27.1-r0.apk
498.95KB
2025-11-03 06:29:45
freshrss-mysql-1.27.1-r0.apk
1.27KB
2025-11-03 06:29:45
freshrss-openrc-1.27.1-r0.apk
2.50KB
2025-11-03 06:29:45
freshrss-pgsql-1.27.1-r0.apk
1.27KB
2025-11-03 06:29:45
freshrss-sqlite-1.27.1-r0.apk
1.27KB
2025-11-03 06:29:45
freshrss-themes-1.27.1-r0.apk
1.50MB
2025-11-03 06:29:45
fulcrum-1.9.8-r1.apk
854.52KB
2024-10-25 23:06:16
fulcrum-admin-1.9.8-r1.apk
7.91KB
2024-10-25 23:06:16
fulcrum-doc-1.9.8-r1.apk
21.62KB
2024-10-25 23:06:16
fungw-1.2.2-r0.apk
12.81KB
2025-09-19 21:24:08
fungw-c-1.2.2-r0.apk
7.74KB
2025-09-19 21:24:08
fungw-cli-1.2.2-r0.apk
21.67KB
2025-09-19 21:24:08
fungw-dev-1.2.2-r0.apk
7.82KB
2025-09-19 21:24:08
fungw-doc-1.2.2-r0.apk
13.19KB
2025-09-19 21:24:08
fungw-duktape-1.2.2-r0.apk
15.18KB
2025-09-19 21:24:08
fungw-fawk-1.2.2-r0.apk
107.95KB
2025-09-19 21:24:08
fungw-lua-1.2.2-r0.apk
13.05KB
2025-09-19 21:24:08
fungw-mujs-1.2.2-r0.apk
14.57KB
2025-09-19 21:24:08
fungw-perl-1.2.2-r0.apk
46.97KB
2025-09-19 21:24:08
fungw-python3-1.2.2-r0.apk
24.84KB
2025-09-19 21:24:08
fungw-tcl-1.2.2-r0.apk
12.24KB
2025-09-19 21:24:08
fusee-nano-0.5.3-r1.apk
20.50KB
2024-10-25 23:06:16
fusee-nano-udev-0.5.3-r1.apk
1.70KB
2024-10-25 23:06:16
fuseiso-20070708-r0.apk
16.00KB
2025-04-14 04:12:09
fuseiso-doc-20070708-r0.apk
2.59KB
2025-04-14 04:12:09
fusesoc-2.3-r0.apk
46.30KB
2024-10-25 23:06:16
fusesoc-pyc-2.3-r0.apk
89.26KB
2024-10-25 23:06:16
fxfloorboard-katana-mk2-20240515-r1.apk
5.54MB
2024-10-25 23:06:16
fxfloorboard-katana-mk2-doc-20240515-r1.apk
1.09MB
2024-10-25 23:06:16
fxload-2008.10.13-r0.apk
8.36KB
2025-12-07 01:07:14
fynedesk-0.4.0-r2.apk
12.66MB
2025-12-04 15:54:12
gambit-4.9.5-r1.apk
7.45MB
2025-04-07 13:33:39
gambit-dev-4.9.5-r1.apk
6.64MB
2025-04-07 13:33:40
gambit-doc-4.9.5-r1.apk
4.33KB
2025-04-07 13:33:40
game-devices-udev-0.25-r0.apk
6.90KB
2025-10-23 08:04:03
gamemode-1.8.2-r0.apk
72.67KB
2025-02-06 01:21:12
gamemode-dev-1.8.2-r0.apk
5.10KB
2025-02-06 01:21:12
gamemode-doc-1.8.2-r0.apk
7.54KB
2025-02-06 01:21:12
gammastep-2.0.9-r3.apk
88.94KB
2024-10-25 23:06:16
gammastep-doc-2.0.9-r3.apk
14.06KB
2024-10-25 23:06:16
gammastep-lang-2.0.9-r3.apk
77.53KB
2024-10-25 23:06:16
gammastep-pyc-2.0.9-r3.apk
16.88KB
2024-10-25 23:06:16
gatling-0.16-r6.apk
151.00KB
2024-10-25 23:06:16
gatling-doc-0.16-r6.apk
9.08KB
2024-10-25 23:06:16
gatling-openrc-0.16-r6.apk
2.78KB
2024-10-25 23:06:16
gaupol-1.12-r2.apk
276.17KB
2024-10-25 23:06:16
gaupol-doc-1.12-r2.apk
2.37KB
2024-10-25 23:06:16
gaupol-lang-1.12-r2.apk
276.68KB
2024-10-25 23:06:16
gaupol-pyc-1.12-r2.apk
419.24KB
2024-10-25 23:06:16
gb-0.4.4-r36.apk
7.08MB
2025-12-04 15:54:12
gcli-2.9.1-r0.apk
123.97KB
2025-11-09 18:39:50
gcli-doc-2.9.1-r0.apk
37.69KB
2025-11-09 18:39:50
gdcm-3.2.2-r3.apk
425.90KB
2025-12-02 06:28:53
gdcm-dev-3.2.2-r3.apk
449.92KB
2025-12-02 06:28:53
gdcm-doc-3.2.2-r3.apk
55.36KB
2025-12-02 06:28:53
gdcm-doc-html-3.2.2-r3.apk
8.89MB
2025-12-02 06:28:54
gdcm-doc-pdf-3.2.2-r3.apk
14.13MB
2025-12-02 06:28:54
gearman-dev-1.1.22-r0.apk
0.98MB
2025-09-05 09:56:05
gearman-libs-1.1.22-r0.apk
71.85KB
2025-09-05 09:56:05
gearmand-1.1.22-r0.apk
158.88KB
2025-09-05 09:56:05
gearmand-doc-1.1.22-r0.apk
189.02KB
2025-09-05 09:56:05
gearmand-openrc-1.1.22-r0.apk
1.81KB
2025-09-05 09:56:05
gede-2.22.1-r0.apk
379.67KB
2025-11-02 22:48:15
genact-1.4.2-r0.apk
1.36MB
2024-10-25 23:06:16
geoclue-stumbler-1.1-r0.apk
40.58KB
2025-10-04 20:03:36
geodns-3.3.0-r18.apk
4.80MB
2025-12-04 15:54:12
geodns-logs-3.3.0-r18.apk
4.38MB
2025-12-04 15:54:13
geodns-openrc-3.3.0-r18.apk
1.78KB
2025-12-04 15:54:13
geomyidae-0.34-r2.apk
13.50KB
2024-10-25 23:06:17
geomyidae-doc-0.34-r2.apk
7.65KB
2024-10-25 23:06:17
geomyidae-openrc-0.34-r2.apk
1.99KB
2024-10-25 23:06:17
geonames-0.3.1-r2.apk
826.52KB
2024-10-25 23:06:17
geonames-dev-0.3.1-r2.apk
3.02KB
2024-10-25 23:06:17
geonames-doc-0.3.1-r2.apk
12.60KB
2024-10-25 23:06:17
geonames-lang-0.3.1-r2.apk
4.58MB
2024-10-25 23:06:17
getmail6-6.19.10-r0.apk
70.39KB
2025-08-19 14:29:09
getmail6-doc-6.19.10-r0.apk
138.50KB
2025-08-19 14:29:09
getmail6-pyc-6.19.10-r0.apk
103.27KB
2025-08-19 14:29:09
getssl-2.48-r0.apk
82.17KB
2024-10-25 23:06:17
getting-things-gnome-0.6-r4.apk
714.92KB
2024-12-08 23:40:19
getting-things-gnome-doc-0.6-r4.apk
497.39KB
2024-12-08 23:40:19
getting-things-gnome-lang-0.6-r4.apk
228.72KB
2024-12-08 23:40:19
gf2x-1.3.0-r1.apk
37.41KB
2024-10-25 23:06:17
gf2x-dev-1.3.0-r1.apk
58.29KB
2024-10-25 23:06:17
ghc-filesystem-1.5.14-r0.apk
38.61KB
2024-10-25 23:06:17
ghq-1.8.0-r6.apk
3.71MB
2025-12-04 15:54:13
ghq-bash-completion-1.8.0-r6.apk
1.79KB
2025-12-04 15:54:13
ghq-doc-1.8.0-r6.apk
5.50KB
2025-12-04 15:54:13
ghq-fish-completion-1.8.0-r6.apk
2.55KB
2025-12-04 15:54:13
ghq-zsh-completion-1.8.0-r6.apk
2.49KB
2025-12-04 15:54:13
gimp-plugin-gmic-3.6.0-r1.apk
1.27MB
2025-10-25 11:43:33
ginac-1.8.9-r0.apk
1.06MB
2025-05-25 10:49:40
ginac-dev-1.8.9-r0.apk
68.16KB
2025-05-25 10:49:40
ginac-doc-1.8.9-r0.apk
97.51KB
2025-05-25 10:49:40
ginger-2.4.0-r7.apk
257.06KB
2024-10-25 23:06:17
ginger-lang-2.4.0-r7.apk
125.44KB
2024-10-25 23:06:17
ginger-pyc-2.4.0-r7.apk
206.84KB
2024-10-25 23:06:17
gingerbase-2.3.0-r7.apk
195.23KB
2024-10-25 23:06:17
gingerbase-lang-2.3.0-r7.apk
52.86KB
2024-10-25 23:06:17
gingerbase-pyc-2.3.0-r7.apk
61.47KB
2024-10-25 23:06:17
git-bug-0.8.1-r6.apk
9.81MB
2025-12-04 15:54:13
git-bug-bash-completion-0.8.1-r6.apk
5.28KB
2025-12-04 15:54:13
git-bug-doc-0.8.1-r6.apk
16.86KB
2025-12-04 15:54:13
git-bug-fish-completion-0.8.1-r6.apk
4.33KB
2025-12-04 15:54:13
git-bug-zsh-completion-0.8.1-r6.apk
4.05KB
2025-12-04 15:54:13
git-extras-7.4.0-r0.apk
57.08KB
2025-07-24 04:27:26
git-extras-bash-completion-7.4.0-r0.apk
2.88KB
2025-07-24 04:27:26
git-extras-doc-7.4.0-r0.apk
65.19KB
2025-07-24 04:27:26
git-graph-0.6.0-r0.apk
862.21KB
2024-11-26 01:38:26
git-graph-doc-0.6.0-r0.apk
6.24KB
2024-11-26 01:38:26
git-quick-stats-2.8.0-r0.apk
15.24KB
2025-09-11 11:18:40
git-quick-stats-doc-2.8.0-r0.apk
3.47KB
2025-09-11 11:18:40
git-revise-0.7.0-r5.apk
24.30KB
2024-10-25 23:06:17
git-revise-doc-0.7.0-r5.apk
4.96KB
2024-10-25 23:06:17
git-revise-pyc-0.7.0-r5.apk
42.19KB
2024-10-25 23:06:17
git-secret-0.5.0-r0.apk
14.76KB
2024-10-25 23:06:17
git-secret-doc-0.5.0-r0.apk
17.07KB
2024-10-25 23:06:17
git2json-0.2.3-r8.apk
7.42KB
2024-10-25 23:06:17
git2json-pyc-0.2.3-r8.apk
5.69KB
2024-10-25 23:06:17
gkrellm-2.3.11-r0.apk
366.86KB
2025-01-09 00:36:44
gkrellm-dev-2.3.11-r0.apk
16.50KB
2025-01-09 00:36:44
gkrellm-doc-2.3.11-r0.apk
18.53KB
2025-01-09 00:36:44
gkrellm-lang-2.3.11-r0.apk
379.12KB
2025-01-09 00:36:44
gkrellm-server-2.3.11-r0.apk
51.93KB
2025-01-09 00:36:44
gl2ps-1.4.2-r0.apk
36.54KB
2025-10-13 00:33:11
gl2ps-dev-1.4.2-r0.apk
4.34KB
2025-10-13 00:33:11
gl2ps-doc-1.4.2-r0.apk
230.22KB
2025-10-13 00:33:11
gl2ps-static-1.4.2-r0.apk
42.30KB
2025-10-13 00:33:11
gliderlabs-sigil-0.11.0-r10.apk
3.25MB
2025-12-04 15:54:13
gliderlabs-sigil-doc-0.11.0-r10.apk
2.42KB
2025-12-04 15:54:13
glmark2-2023.01-r1.apk
8.00MB
2024-10-25 23:06:17
glmark2-doc-2023.01-r1.apk
12.81KB
2024-10-25 23:06:17
gloox-1.0.28-r0.apk
352.13KB
2024-10-25 23:06:17
gloox-dev-1.0.28-r0.apk
928.47KB
2024-10-25 23:06:17
glow-2.1.1-r5.apk
6.00MB
2025-12-04 15:54:13
glow-bash-completion-2.1.1-r5.apk
6.10KB
2025-12-04 15:54:13
glow-doc-2.1.1-r5.apk
3.21KB
2025-12-04 15:54:13
glow-fish-completion-2.1.1-r5.apk
4.32KB
2025-12-04 15:54:13
glow-zsh-completion-2.1.1-r5.apk
4.04KB
2025-12-04 15:54:13
glslviewer-3.2.4-r2.apk
1.59MB
2025-08-28 20:44:07
gmcapsule-0.9.8-r0.apk
36.18KB
2025-10-08 00:03:34
gmcapsule-openrc-0.9.8-r0.apk
1.98KB
2025-10-08 00:03:34
gmcapsule-pyc-0.9.8-r0.apk
60.78KB
2025-10-08 00:03:34
gmenuharness-0.1.4-r2.apk
34.16KB
2025-02-17 14:07:15
gmenuharness-dev-0.1.4-r2.apk
4.07KB
2025-02-17 14:07:15
gmic-3.6.0-r1.apk
12.32MB
2025-10-25 11:43:34
gmic-bash-completion-3.6.0-r1.apk
28.68KB
2025-10-25 11:43:34
gmic-dev-3.6.0-r1.apk
7.62KB
2025-10-25 11:43:34
gmic-doc-3.6.0-r1.apk
222.87KB
2025-10-25 11:43:34
gmic-libs-3.6.0-r1.apk
3.17MB
2025-10-25 11:43:34
gmic-qt-3.6.0-r1.apk
1.60MB
2025-10-25 11:43:34
gmid-2.1.1-r0.apk
206.92KB
2024-11-27 21:26:06
gmid-doc-2.1.1-r0.apk
14.44KB
2024-11-27 21:26:06
gmid-openrc-2.1.1-r0.apk
2.20KB
2024-11-27 21:26:06
gnome-common-3.18.0-r3.apk
11.53KB
2024-10-25 23:06:18
gnome-latex-3.48.0-r0.apk
357.93KB
2025-04-08 00:29:11
gnome-latex-doc-3.48.0-r0.apk
108.01KB
2025-04-08 00:29:11
gnome-latex-lang-3.48.0-r0.apk
530.26KB
2025-04-08 00:29:11
gnome-mahjongg-49.0.1-r0.apk
2.38MB
2025-10-19 00:03:16
gnome-mahjongg-doc-49.0.1-r0.apk
2.20KB
2025-10-19 00:03:16
gnome-mahjongg-lang-49.0.1-r0.apk
170.32KB
2025-10-19 00:03:16
gnome-metronome-1.3.0-r0.apk
456.86KB
2024-10-25 23:06:18
gnome-metronome-lang-1.3.0-r0.apk
24.68KB
2024-10-25 23:06:18
gnome-user-share-48.2-r0.apk
310.19KB
2025-11-23 12:47:44
gnome-user-share-lang-48.2-r0.apk
67.91KB
2025-11-23 12:47:44
gnome-user-share-systemd-48.2-r0.apk
1.73KB
2025-11-23 12:47:44
gnu-apl-1.9-r0.apk
1.30MB
2024-10-25 23:06:18
gnu-apl-dev-1.9-r0.apk
584.91KB
2024-10-25 23:06:18
gnu-apl-doc-1.9-r0.apk
1.56MB
2024-10-25 23:06:18
gnucobol-3.2-r0.apk
815.39KB
2025-07-28 17:02:40
gnucobol-doc-3.2-r0.apk
70.80KB
2025-07-28 17:02:40
gnucobol-lang-3.2-r0.apk
316.03KB
2025-07-28 17:02:40
go-away-0.7.0-r1.apk
7.89MB
2025-09-05 09:56:05
go-away-openrc-0.7.0-r1.apk
2.26KB
2025-09-05 09:56:05
go-jsonnet-0.21.0-r5.apk
6.37MB
2025-12-04 15:54:13
go-mtpfs-1.0.0-r32.apk
1.16MB
2025-12-04 15:54:13
go-passbolt-cli-0.3.2-r8.apk
6.05MB
2025-12-04 15:54:13
go-tools-0.40.0-r0.apk
44.74MB
2025-12-12 17:18:32
gobang-0.1.0_alpha5-r1.apk
1.74MB
2024-10-25 23:06:18
gobuster-3.8.0-r3.apk
3.60MB
2025-12-04 15:54:14
godini-1.0.0-r5.apk
1.46MB
2025-12-04 15:54:14
godini-doc-1.0.0-r5.apk
14.78KB
2025-12-04 15:54:14
gomp-1.0.0-r17.apk
3.59MB
2025-12-04 15:54:14
goomwwm-1.0.0-r5.apk
45.46KB
2024-10-25 23:06:19
goreman-0.3.15-r18.apk
2.48MB
2025-12-04 15:54:14
goshs-1.1.2-r1.apk
6.23MB
2025-12-04 15:54:14
goshs-doc-1.1.2-r1.apk
2.26KB
2025-12-04 15:54:14
gotify-2.7.2-r2.apk
10.63MB
2025-12-04 15:54:14
gotify-cli-2.3.2-r10.apk
4.22MB
2025-12-04 15:54:14
gotify-openrc-2.7.2-r2.apk
2.00KB
2025-12-04 15:54:14
goxel-0.15.1-r0.apk
1.64MB
2024-10-25 23:06:19
gprbuild-25.0.0-r0.apk
13.76MB
2025-10-29 04:55:12
gpscorrelate-2.3-r0.apk
46.26KB
2025-03-27 08:36:11
gpscorrelate-cli-2.3-r0.apk
23.29KB
2025-03-27 08:36:11
gpscorrelate-doc-2.3-r0.apk
284.96KB
2025-03-27 08:36:11
gpscorrelate-lang-2.3-r0.apk
17.39KB
2025-03-27 08:36:11
gr-satellites-5.5.0-r6.apk
476.35KB
2025-10-12 14:34:01
gr-satellites-dev-5.5.0-r6.apk
12.72KB
2025-10-12 14:34:01
gr-satellites-doc-5.5.0-r6.apk
4.52KB
2025-10-12 14:34:01
gradia-1.9.0-r0.apk
530.53KB
2025-09-05 09:56:06
gradia-dev-1.9.0-r0.apk
2.53KB
2025-09-05 09:56:06
gradia-lang-1.9.0-r0.apk
55.54KB
2025-09-05 09:56:06
grcov-0.8.20-r0.apk
1.96MB
2024-11-11 12:00:53
greetd-mini-wl-greeter-0_git20230821-r0.apk
18.54KB
2024-10-25 23:06:19
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2.17KB
2024-10-25 23:06:19
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
3.25KB
2024-10-25 23:06:19
grip-4.2.4-r0.apk
377.41KB
2024-10-25 23:06:19
grip-doc-4.2.4-r0.apk
6.18KB
2024-10-25 23:06:19
grip-lang-4.2.4-r0.apk
144.42KB
2024-10-25 23:06:19
grpcui-1.5.1-r4.apk
8.41MB
2025-12-04 15:54:14
grpcurl-1.9.3-r8.apk
8.00MB
2025-12-04 15:54:14
gsettings-qt-1.1.0-r0.apk
27.13KB
2025-12-10 12:25:09
gsettings-qt-dev-1.1.0-r0.apk
3.55KB
2025-12-10 12:25:09
gsimplecal-2.5.2-r0.apk
16.24KB
2025-10-16 21:48:08
gsimplecal-doc-2.5.2-r0.apk
5.79KB
2025-10-16 21:48:08
gssdp-1.6.4-r1.apk
43.39KB
2025-08-09 02:40:00
gssdp-dev-1.6.4-r1.apk
15.52KB
2025-08-09 02:40:00
gst-audio-thumbnailer-1.0_alpha1-r1.apk
274.32KB
2025-12-13 02:47:34
gst-thumbnailers-1.0_alpha1-r1.apk
1.28KB
2025-12-13 02:47:34
gst-video-thumbnailer-1.0_alpha1-r1.apk
288.42KB
2025-12-13 02:47:34
gstreamermm-1.10.0-r6.apk
409.34KB
2025-02-17 14:07:16
gstreamermm-dev-1.10.0-r6.apk
309.73KB
2025-02-17 14:07:16
gtimelog-0.12_git20251114-r0.apk
219.25KB
2025-11-18 22:35:29
gtimelog-pyc-0.12_git20251114-r0.apk
102.32KB
2025-11-18 22:35:29
gtk-session-lock-0.2.0-r0.apk
23.92KB
2025-01-31 18:16:46
gtk-session-lock-dev-0.2.0-r0.apk
5.28KB
2025-01-31 18:16:46
gtkhash-1.5-r0.apk
85.80KB
2024-10-25 23:06:21
gtkhash-lang-1.5-r0.apk
46.63KB
2024-10-25 23:06:21
gtklock-4.0.0-r0.apk
17.79KB
2025-01-31 18:16:46
gtklock-doc-4.0.0-r0.apk
2.97KB
2025-01-31 18:16:46
gtkwave-3.3.120-r0.apk
2.52MB
2024-10-25 23:06:21
gtkwave-doc-3.3.120-r0.apk
27.12KB
2024-10-25 23:06:21
gtranslator-49.0-r0.apk
132.79KB
2025-09-15 10:46:20
gtranslator-doc-49.0-r0.apk
637.52KB
2025-09-15 10:46:20
gtranslator-lang-49.0-r0.apk
593.01KB
2025-09-15 10:46:20
gtypist-2.10.1-r0.apk
635.88KB
2025-10-12 23:59:54
gtypist-doc-2.10.1-r0.apk
103.88KB
2025-10-12 23:59:54
gtypist-lang-2.10.1-r0.apk
26.13KB
2025-10-12 23:59:54
guake-3.10.1-r0.apk
304.46KB
2025-11-24 20:07:55
guake-lang-3.10.1-r0.apk
194.27KB
2025-11-24 20:07:55
guake-pyc-3.10.1-r0.apk
186.16KB
2025-11-24 20:07:55
guestfs-tools-1.56.1-r0.apk
287.82KB
2025-07-24 04:27:26
guetzli-0_git20191025-r2.apk
139.53KB
2025-05-25 10:49:40
guetzli-dev-0_git20191025-r2.apk
2.22MB
2025-05-25 10:49:40
gufw-24.04-r3.apk
596.48KB
2024-11-20 00:34:16
gufw-doc-24.04-r3.apk
4.48KB
2024-11-20 00:34:16
gufw-lang-24.04-r3.apk
854.59KB
2024-11-20 00:34:16
gufw-pyc-24.04-r3.apk
64.57KB
2024-11-20 00:34:16
guish-2.6.11-r0.apk
88.10KB
2024-12-24 12:42:32
guish-doc-2.6.11-r0.apk
61.29KB
2024-12-24 12:42:32
gupnp-1.6.9-r1.apk
84.31KB
2025-08-09 02:40:00
gupnp-av-0.14.4-r1.apk
74.40KB
2025-08-09 02:40:00
gupnp-av-dev-0.14.4-r1.apk
41.58KB
2025-08-09 02:40:00
gupnp-dev-1.6.9-r1.apk
50.39KB
2025-08-09 02:40:00
gupnp-dlna-0.12.0-r1.apk
61.36KB
2025-08-09 02:40:00
gupnp-dlna-dev-0.12.0-r1.apk
24.34KB
2025-08-09 02:40:00
gupnp-doc-1.6.9-r1.apk
3.80KB
2025-08-09 02:40:00
gx-0.14.3-r35.apk
4.69MB
2025-12-04 15:54:15
gx-doc-0.14.3-r35.apk
2.28KB
2025-12-04 15:54:15
gx-go-1.9.0-r37.apk
4.94MB
2025-12-04 15:54:15
gx-go-doc-1.9.0-r37.apk
2.27KB
2025-12-04 15:54:15
gxlimg-0_git20240711-r0.apk
24.75KB
2025-01-25 09:03:44
gyosu-0.2.0-r3.apk
1.85MB
2025-12-04 15:54:15
h4h5tools-2.2.5-r4.apk
123.06KB
2024-10-25 23:06:22
h4h5tools-dev-2.2.5-r4.apk
8.75KB
2024-10-25 23:06:22
h4h5tools-doc-2.2.5-r4.apk
2.72KB
2024-10-25 23:06:22
h4h5tools-static-2.2.5-r4.apk
115.00KB
2024-10-25 23:06:22
habitctl-0.1.0-r2.apk
329.54KB
2024-10-25 23:06:22
halp-0.2.0-r0.apk
929.63KB
2024-10-25 23:06:22
halp-bash-completion-0.2.0-r0.apk
2.18KB
2024-10-25 23:06:22
halp-doc-0.2.0-r0.apk
6.88KB
2024-10-25 23:06:22
halp-fish-completion-0.2.0-r0.apk
1.95KB
2024-10-25 23:06:22
halp-zsh-completion-0.2.0-r0.apk
2.40KB
2024-10-25 23:06:22
hamster-time-tracker-3.0.3-r2.apk
155.83KB
2024-10-25 23:06:22
hamster-time-tracker-bash-completion-3.0.3-r2.apk
1.97KB
2024-10-25 23:06:22
hamster-time-tracker-doc-3.0.3-r2.apk
116.20KB
2024-10-25 23:06:22
hamster-time-tracker-lang-3.0.3-r2.apk
205.70KB
2024-10-25 23:06:22
hamster-time-tracker-pyc-3.0.3-r2.apk
358.13KB
2024-10-25 23:06:22
handlebars-1.0.0-r1.apk
96.68KB
2024-10-25 23:06:22
handlebars-dev-1.0.0-r1.apk
32.39KB
2024-10-25 23:06:22
handlebars-utils-1.0.0-r1.apk
9.51KB
2024-10-25 23:06:22
haproxy-dataplaneapi2-2.9.18-r2.apk
10.24MB
2025-12-04 15:54:15
haproxy-dataplaneapi2-openrc-2.9.18-r2.apk
2.13KB
2025-12-04 15:54:15
hardinfo2-2.2.13-r0.apk
3.27MB
2025-09-28 14:06:43
hardinfo2-doc-2.2.13-r0.apk
3.01KB
2025-09-28 14:06:43
hardinfo2-lang-2.2.13-r0.apk
291.74KB
2025-09-28 14:06:43
hardinfo2-openrc-2.2.13-r0.apk
1.95KB
2025-09-28 14:06:43
harminv-1.4.2-r1.apk
7.44KB
2024-10-25 23:06:22
harminv-dev-1.4.2-r1.apk
3.10KB
2024-10-25 23:06:22
harminv-doc-1.4.2-r1.apk
5.64KB
2024-10-25 23:06:22
harminv-libs-1.4.2-r1.apk
11.78KB
2024-10-25 23:06:22
hashcat-6.2.6-r0.apk
55.07MB
2024-10-25 23:06:23
hashcat-doc-6.2.6-r0.apk
2.15MB
2024-10-25 23:06:23
hatch-1.16.1-r0.apk
114.76KB
2025-11-30 22:01:52
hatch-pyc-1.16.1-r0.apk
246.45KB
2025-11-30 22:01:52
hatop-0.8.2-r0.apk
17.96KB
2024-10-25 23:06:23
hatop-doc-0.8.2-r0.apk
2.99KB
2024-10-25 23:06:23
haxe-4.3.3-r1.apk
9.80MB
2025-03-22 16:33:12
haxe-doc-4.3.3-r1.apk
7.75KB
2025-03-22 16:33:12
hctl-0.2.7-r0.apk
1.32MB
2025-05-15 00:13:58
hddfancontrol-1.6.2-r0.apk
32.84KB
2024-10-25 23:06:23
hddfancontrol-openrc-1.6.2-r0.apk
2.18KB
2024-10-25 23:06:23
hddfancontrol-pyc-1.6.2-r0.apk
34.03KB
2024-10-25 23:06:23
hdf4-4.2.15-r2.apk
269.74KB
2024-10-25 23:06:23
hdf4-dev-4.2.15-r2.apk
100.85KB
2024-10-25 23:06:23
hdf4-doc-4.2.15-r2.apk
6.01KB
2024-10-25 23:06:23
hdf4-tools-4.2.15-r2.apk
186.84KB
2024-10-25 23:06:23
heh-0.6.2-r0.apk
490.51KB
2025-12-12 16:48:55
heh-doc-0.6.2-r0.apk
4.07KB
2025-12-12 16:48:55
heisenbridge-1.15.4-r0.apk
67.23KB
2025-10-08 00:03:34
heisenbridge-pyc-1.15.4-r0.apk
154.96KB
2025-10-08 00:03:34
helm-diff-3.13.1-r1.apk
21.37MB
2025-12-04 15:54:15
helm-ls-0.5.4-r1.apk
18.38MB
2025-12-04 15:54:15
helm-ls-doc-0.5.4-r1.apk
2.25KB
2025-12-04 15:54:15
helm-mapkubeapis-0.6.1-r1.apk
20.84MB
2025-12-04 15:54:16
helm-unittest-1.0.3-r1.apk
12.16MB
2025-12-04 15:54:16
herbe-1.0.0-r0.apk
5.62KB
2024-10-25 23:06:24
hex-0.6.0-r0.apk
300.75KB
2024-10-25 23:06:24
hexedit-1.6_git20230905-r0.apk
18.34KB
2024-10-25 23:06:24
hexedit-doc-1.6_git20230905-r0.apk
5.57KB
2024-10-25 23:06:24
hfst-3.16.2-r0.apk
1.33MB
2025-03-28 18:15:40
hfst-dev-3.16.2-r0.apk
208.98KB
2025-03-28 18:15:40
hfst-doc-3.16.2-r0.apk
69.67KB
2025-03-28 18:15:40
hfst-libs-3.16.2-r0.apk
1.83MB
2025-03-28 18:15:40
hiawatha-11.6-r1.apk
166.33KB
2025-05-27 14:07:01
hiawatha-doc-11.6-r1.apk
21.02KB
2025-05-27 14:07:01
hiawatha-letsencrypt-11.6-r1.apk
17.24KB
2025-05-27 14:07:01
hiawatha-openrc-11.6-r1.apk
1.69KB
2025-05-27 14:07:01
hidrd-0.2.0_git20190603-r1.apk
65.77KB
2024-10-25 23:06:24
hidrd-dev-0.2.0_git20190603-r1.apk
120.26KB
2024-10-25 23:06:24
highfive-2.10.1-r0.apk
75.48KB
2025-01-15 04:50:48
hilbish-2.3.4-r10.apk
3.44MB
2025-12-04 15:54:16
hilbish-doc-2.3.4-r10.apk
24.93KB
2025-12-04 15:54:16
himitsu-secret-service-0.1_git20250705-r1.apk
15.79KB
2025-10-20 16:57:21
himitsu-secret-service-doc-0.1_git20250705-r1.apk
3.99KB
2025-10-20 16:57:21
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
28.60KB
2025-10-20 16:57:21
hiprompt-gtk-py-0.8.0-r1.apk
8.04KB
2025-09-17 13:03:06
hitide-0.15.0-r0.apk
2.06MB
2024-10-25 23:06:24
hitide-openrc-0.15.0-r0.apk
2.11KB
2024-10-25 23:06:24
homebank-5.9.5-r0.apk
2.03MB
2025-10-14 17:54:01
homebank-lang-5.9.5-r0.apk
942.45KB
2025-10-14 17:54:01
horizon-0.9.6-r9.apk
198.60KB
2024-10-25 23:06:24
horizon-dbg-0.9.6-r9.apk
4.03MB
2024-10-25 23:06:24
horizon-dev-0.9.6-r9.apk
4.88KB
2024-10-25 23:06:24
horizon-doc-0.9.6-r9.apk
21.45KB
2024-10-25 23:06:24
horizon-image-0.9.6-r9.apk
63.07KB
2024-10-25 23:06:24
horizon-tools-0.9.6-r9.apk
71.74KB
2024-10-25 23:06:24
hping3-20051105-r4.apk
67.82KB
2024-10-25 23:06:24
hping3-doc-20051105-r4.apk
16.69KB
2024-10-25 23:06:24
hpnssh-18.8.0-r0.apk
2.82MB
2025-11-28 21:39:32
hpnssh-doc-18.8.0-r0.apk
101.49KB
2025-11-28 21:39:32
hsetroot-1.0.5-r1.apk
11.25KB
2024-10-25 23:06:24
hstdb-2.1.0-r2.apk
899.56KB
2024-10-25 23:06:24
htmlcxx-0.87-r1.apk
57.64KB
2024-10-25 23:06:24
htmlcxx-dev-0.87-r1.apk
20.62KB
2024-10-25 23:06:24
httpie-oauth-1.0.2-r9.apk
3.36KB
2024-10-25 23:06:24
httpie-oauth-pyc-1.0.2-r9.apk
2.31KB
2024-10-25 23:06:24
httplz-2.2.0-r0.apk
1.32MB
2025-05-18 18:39:21
httplz-doc-2.2.0-r0.apk
2.25KB
2025-05-18 18:39:21
httpx-1.7.4-r0.apk
16.94MB
2025-12-10 12:25:09
httpx-doc-1.7.4-r0.apk
2.28KB
2025-12-10 12:25:09
httrack-3.49.2-r5.apk
747.18KB
2024-10-25 23:06:24
httrack-doc-3.49.2-r5.apk
527.96KB
2024-10-25 23:06:24
hub-2.14.2-r36.apk
2.89MB
2025-12-04 15:54:16
hub-bash-completion-2.14.2-r36.apk
4.59KB
2025-12-04 15:54:16
hub-doc-2.14.2-r36.apk
41.98KB
2025-12-04 15:54:16
hub-fish-completion-2.14.2-r36.apk
3.31KB
2025-12-04 15:54:16
hub-zsh-completion-2.14.2-r36.apk
3.71KB
2025-12-04 15:54:16
hubble-cli-0.13.6-r10.apk
17.56MB
2025-12-04 15:54:17
hubble-cli-bash-completion-0.13.6-r10.apk
5.07KB
2025-12-04 15:54:17
hubble-cli-fish-completion-0.13.6-r10.apk
4.33KB
2025-12-04 15:54:17
hubble-cli-zsh-completion-0.13.6-r10.apk
4.05KB
2025-12-04 15:54:17
hunspell-ca-es-3.0.7-r0.apk
731.25KB
2024-10-25 23:06:25
hunspell-fr-7.0-r0.apk
1.20MB
2025-06-13 17:31:53
hunspell-fr-doc-7.0-r0.apk
2.85KB
2025-06-13 17:31:53
hurl-7.1.0-r0.apk
2.43MB
2025-12-05 20:41:54
hurl-bash-completion-7.1.0-r0.apk
2.31KB
2025-12-05 20:41:54
hurl-doc-7.1.0-r0.apk
9.16KB
2025-12-05 20:41:54
hurl-fish-completion-7.1.0-r0.apk
3.62KB
2025-12-05 20:41:54
hurl-zsh-completion-7.1.0-r0.apk
4.11KB
2025-12-05 20:41:54
hw-probe-1.6.6-r2.apk
123.81KB
2025-06-30 10:14:28
hwatch-0.3.11-r0.apk
0.99MB
2024-10-25 23:06:25
hwatch-doc-0.3.11-r0.apk
3.02KB
2024-10-25 23:06:25
hwatch-fish-completion-0.3.11-r0.apk
1.79KB
2024-10-25 23:06:25
hwatch-zsh-completion-0.3.11-r0.apk
1.90KB
2024-10-25 23:06:25
hx-1.0.15-r0.apk
14.23KB
2024-10-25 23:06:25
hx-doc-1.0.15-r0.apk
4.78KB
2024-10-25 23:06:25
hyfetch-2.0.5-r0.apk
873.23KB
2025-11-21 19:56:23
hyfetch-bash-completion-2.0.5-r0.apk
3.33KB
2025-11-21 19:56:23
hyfetch-doc-2.0.5-r0.apk
19.60KB
2025-11-21 19:56:23
hyfetch-zsh-completion-2.0.5-r0.apk
2.57KB
2025-11-21 19:56:23
hyperrogue-13.1h-r0.apk
83.14MB
2025-12-14 23:52:51
hyperrogue-doc-13.1h-r0.apk
7.98KB
2025-12-14 23:52:51
hypnotix-3.5-r0.apk
110.04KB
2024-10-25 23:06:25
hypnotix-lang-3.5-r0.apk
72.38KB
2024-10-25 23:06:25
hypridle-0.1.7-r1.apk
117.28KB
2025-12-14 12:57:59
hypridle-doc-0.1.7-r1.apk
2.39KB
2025-12-14 12:57:59
hypridle-openrc-0.1.7-r1.apk
1.70KB
2025-12-14 12:57:59
hyprlock-0.9.2-r0.apk
397.08KB
2025-12-14 12:57:59
hyprpicker-0.4.5-r1.apk
102.65KB
2025-12-14 12:57:59
hyprpicker-doc-0.4.5-r1.apk
3.82KB
2025-12-14 12:57:59
hyprsunset-0.3.3-r1.apk
127.69KB
2025-12-14 12:57:59
hyprsunset-doc-0.3.3-r1.apk
2.43KB
2025-12-14 12:57:59
hyprsunset-openrc-0.3.3-r1.apk
1.76KB
2025-12-14 12:57:59
hyx-2024.02.29-r0.apk
17.08KB
2024-10-25 23:06:25
hyx-doc-2024.02.29-r0.apk
2.24KB
2024-10-25 23:06:25
i2util-4.2.1-r1.apk
22.62KB
2024-10-25 23:06:25
i2util-dev-4.2.1-r1.apk
45.24KB
2024-10-25 23:06:25
i2util-doc-4.2.1-r1.apk
4.71KB
2024-10-25 23:06:25
i3bar-river-1.1.0-r1.apk
520.93KB
2025-10-30 00:52:39
i3bar-river-openrc-1.1.0-r1.apk
1.71KB
2025-10-30 00:52:39
i3bar-river-systemd-1.1.0-r1.apk
1.88KB
2025-10-30 00:52:39
i3status-rust-0.34.0-r0.apk
4.59MB
2025-07-24 04:27:26
i3status-rust-doc-0.34.0-r0.apk
33.13KB
2025-07-24 04:27:26
ibus-typing-booster-2.28.6-r0.apk
12.66MB
2025-11-25 02:28:39
ibus-typing-booster-lang-2.28.6-r0.apk
315.59KB
2025-11-25 02:28:39
ibus-typing-booster-pyc-2.28.6-r0.apk
1.38MB
2025-11-25 02:28:39
icesprog-0_git20240108-r1.apk
8.73KB
2024-10-25 23:06:25
icesprog-udev-0_git20240108-r1.apk
1.90KB
2024-10-25 23:06:25
icestorm-0_git20240517-r0.apk
16.53MB
2024-10-25 23:06:25
icingaweb2-module-businessprocess-2.5.2-r0.apk
110.03KB
2025-09-29 09:12:45
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2.04MB
2025-09-29 09:12:45
icingaweb2-module-fileshipper-1.2.0-r3.apk
10.58KB
2025-09-29 09:12:45
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
230.60KB
2025-09-29 09:12:45
icingaweb2-module-generictts-2.1.0-r0.apk
6.30KB
2025-09-29 09:12:45
icingaweb2-module-generictts-doc-2.1.0-r0.apk
1.79KB
2025-09-29 09:12:45
icingaweb2-module-pnp-1.1.0-r1.apk
8.71KB
2025-09-29 09:12:45
icingaweb2-module-pnp-doc-1.1.0-r1.apk
1.79KB
2025-09-29 09:12:45
identities-0.2.2-r0.apk
17.02KB
2025-10-18 18:40:51
identme-0.6.0-r0.apk
46.72KB
2025-04-03 15:33:49
idesk-1-r1.apk
63.96KB
2024-10-25 23:06:25
ideviceinstaller-1.1.1-r4.apk
14.51KB
2024-10-31 00:44:42
ideviceinstaller-doc-1.1.1-r4.apk
2.45KB
2024-10-31 00:44:42
idevicerestore-1.0.0_git20250914-r0.apk
102.92KB
2025-10-08 00:03:34
idevicerestore-doc-1.0.0_git20250914-r0.apk
3.41KB
2025-10-08 00:03:34
ifuse-1.1.4-r5.apk
9.82KB
2024-10-31 00:44:42
ifuse-doc-1.1.4-r5.apk
2.34KB
2024-10-31 00:44:42
igrep-1.2.0-r0.apk
1.60MB
2024-10-25 23:06:25
igrep-doc-1.2.0-r0.apk
4.13KB
2024-10-25 23:06:25
ijq-1.2.0-r2.apk
1.42MB
2025-12-04 15:54:17
ijq-doc-1.2.0-r2.apk
3.59KB
2025-12-04 15:54:17
imapfilter-2.8.2-r0.apk
36.80KB
2024-10-25 23:06:25
imapfilter-doc-2.8.2-r0.apk
12.81KB
2024-10-25 23:06:25
imapgoose-0.4.1-r1.apk
2.50MB
2025-12-04 15:54:17
imapgoose-doc-0.4.1-r1.apk
5.69KB
2025-12-04 15:54:17
imapgoose-openrc-0.4.1-r1.apk
1.73KB
2025-12-04 15:54:17
imapgoose-systemd-0.4.1-r1.apk
1.78KB
2025-12-04 15:54:17
imediff-2.6-r1.apk
41.58KB
2024-10-25 23:06:25
imediff-doc-2.6-r1.apk
6.53KB
2024-10-25 23:06:25
imediff-pyc-2.6-r1.apk
43.66KB
2024-10-25 23:06:25
imgdiff-1.0.2-r31.apk
0.99MB
2025-12-04 15:54:17
imgdiff-doc-1.0.2-r31.apk
2.26KB
2025-12-04 15:54:17
imrsh-0_git20210320-r1.apk
8.21KB
2024-10-25 23:06:25
imrsh-dbg-0_git20210320-r1.apk
19.51KB
2024-10-25 23:06:25
incus-ui-canonical-0.18.0-r0.apk
4.65MB
2025-08-22 15:17:54
infnoise-0.3.3-r0.apk
14.81KB
2025-05-26 11:58:45
infnoise-doc-0.3.3-r0.apk
4.15KB
2025-05-26 11:58:45
infnoise-openrc-0.3.3-r0.apk
1.73KB
2025-05-26 11:58:45
initify-0_git20171210-r1.apk
3.28KB
2024-10-25 23:06:25
innernet-1.6.1-r0.apk
2.80MB
2024-10-25 23:06:25
innernet-bash-completion-1.6.1-r0.apk
3.80KB
2024-10-25 23:06:25
innernet-doc-1.6.1-r0.apk
9.01KB
2024-10-25 23:06:25
innernet-fish-completion-1.6.1-r0.apk
4.51KB
2024-10-25 23:06:25
innernet-openrc-1.6.1-r0.apk
2.28KB
2024-10-25 23:06:25
innernet-zsh-completion-1.6.1-r0.apk
5.43KB
2024-10-25 23:06:25
interception-tools-0.6.8-r3.apk
97.93KB
2025-10-12 17:19:48
interception-tools-openrc-0.6.8-r3.apk
1.70KB
2025-10-12 17:19:48
invidtui-0.4.6-r10.apk
4.04MB
2025-12-04 15:54:17
ip2location-8.6.1-r0.apk
22.26KB
2024-10-25 23:06:25
ip2location-dev-8.6.1-r0.apk
12.14KB
2024-10-25 23:06:25
ip2location-doc-8.6.1-r0.apk
2.71KB
2024-10-25 23:06:25
ipp-usb-0.9.30-r5.apk
2.51MB
2025-12-04 15:54:17
ipp-usb-doc-0.9.30-r5.apk
8.82KB
2025-12-04 15:54:17
ipp-usb-openrc-0.9.30-r5.apk
1.73KB
2025-12-04 15:54:17
iprange-1.0.4-r1.apk
21.61KB
2024-10-25 23:06:25
iprange-doc-1.0.4-r1.apk
4.54KB
2024-10-25 23:06:25
irccd-4.0.3-r0.apk
243.04KB
2024-10-25 23:06:25
irccd-dev-4.0.3-r0.apk
9.60KB
2024-10-25 23:06:25
irccd-doc-4.0.3-r0.apk
80.35KB
2024-10-25 23:06:25
irccd-openrc-4.0.3-r0.apk
1.80KB
2024-10-25 23:06:25
ircd-hybrid-8.2.47-r0.apk
328.97KB
2025-04-05 07:01:40
ircd-hybrid-doc-8.2.47-r0.apk
3.61KB
2025-04-05 07:01:40
ircdog-0.5.4-r10.apk
2.46MB
2025-12-04 15:54:17
isoinfo-0_git20131217-r1.apk
6.35KB
2024-10-25 23:06:25
isomd5sum-1.2.5-r0.apk
18.63KB
2025-07-15 11:40:17
isomd5sum-doc-1.2.5-r0.apk
2.97KB
2025-07-15 11:40:17
it87-src-1_p20240609-r0.apk
29.69KB
2024-10-25 23:06:25
jack_capture-0.9.73_git20210429-r2.apk
31.64KB
2024-10-25 23:06:25
jackal-0.64.0-r20.apk
11.73MB
2025-12-04 15:54:17
jackal-openrc-0.64.0-r20.apk
1.83KB
2025-12-04 15:54:17
jackdaw-0.3.1-r2.apk
1.98MB
2025-05-29 14:57:04
jackdaw-pyc-0.3.1-r2.apk
364.16KB
2025-05-29 14:57:04
jalv-1.6.8-r1.apk
46.32KB
2024-10-25 23:06:26
jalv-doc-1.6.8-r1.apk
3.15KB
2024-10-25 23:06:26
jalv-gtk-1.6.8-r1.apk
31.60KB
2024-10-25 23:06:26
jaq-2.3.0-r0.apk
784.19KB
2025-07-24 04:27:26
jaq-doc-2.3.0-r0.apk
2.24KB
2025-07-24 04:27:26
java-gdcm-3.2.2-r3.apk
590.67KB
2025-12-02 06:28:54
java-jtharness-6.0_p12-r0.apk
4.02MB
2024-10-25 23:06:26
java-jtharness-doc-6.0_p12-r0.apk
11.56KB
2024-10-25 23:06:26
java-jtharness-examples-6.0_p12-r0.apk
218.88KB
2024-10-25 23:06:26
jbigkit-2.1-r2.apk
65.02KB
2024-10-25 23:06:26
jbigkit-dev-2.1-r2.apk
28.92KB
2024-10-25 23:06:26
jbigkit-doc-2.1-r2.apk
7.34KB
2024-10-25 23:06:26
jdebp-redo-1.4-r1.apk
89.04KB
2024-10-25 23:06:26
jdebp-redo-doc-1.4-r1.apk
12.12KB
2024-10-25 23:06:26
jdupes-1.28.0-r0.apk
29.21KB
2024-10-25 23:06:26
jdupes-doc-1.28.0-r0.apk
8.99KB
2024-10-25 23:06:26
jedi-language-server-0.45.1-r0.apk
31.75KB
2025-04-29 22:46:40
jedi-language-server-pyc-0.45.1-r0.apk
49.74KB
2025-04-29 22:46:40
jfrog-cli-2.45.0-r17.apk
8.98MB
2025-12-04 15:54:17
jhead-3.08-r0.apk
33.52KB
2024-10-25 23:06:26
jhead-doc-3.08-r0.apk
7.88KB
2024-10-25 23:06:26
jotdown-0.7.0-r0.apk
233.26KB
2025-03-11 22:12:09
jrsonnet-cli-0.4.2-r1.apk
572.47KB
2024-10-25 23:06:26
jsmn-1.1.0-r2.apk
4.70KB
2024-10-25 23:06:26
json2tsv-1.2-r0.apk
6.65KB
2024-10-25 23:06:26
json2tsv-doc-1.2-r0.apk
5.24KB
2024-10-25 23:06:26
json2tsv-jaq-1.2-r0.apk
1.91KB
2024-10-25 23:06:26
json2tsv-jaq-doc-1.2-r0.apk
2.33KB
2024-10-25 23:06:26
jsonnet-bundler-0.6.0-r10.apk
3.25MB
2025-12-04 15:54:17
jsonnet-language-server-0.16.0-r1.apk
4.26MB
2025-12-04 15:54:17
junit2html-31.0.2-r0.apk
16.73KB
2024-10-25 23:06:26
junit2html-pyc-31.0.2-r0.apk
23.62KB
2024-10-25 23:06:26
jwt-cli-6.2.0-r0.apk
847.05KB
2024-12-14 20:18:18
k3sup-0.13.6-r10.apk
2.70MB
2025-12-04 15:54:17
k3sup-bash-completion-0.13.6-r10.apk
5.02KB
2025-12-04 15:54:17
k3sup-fish-completion-0.13.6-r10.apk
4.27KB
2025-12-04 15:54:17
k3sup-zsh-completion-0.13.6-r10.apk
4.00KB
2025-12-04 15:54:17
kabmat-2.7.0-r0.apk
54.50KB
2024-10-25 23:06:26
kabmat-doc-2.7.0-r0.apk
3.54KB
2024-10-25 23:06:26
kapow-0.7.1-r18.apk
3.58MB
2025-12-04 15:54:17
katana-1.2.2-r3.apk
13.77MB
2025-12-04 15:54:18
katana-doc-1.2.2-r3.apk
2.26KB
2025-12-04 15:54:18
katarakt-0.2-r1.apk
79.65KB
2025-01-29 21:00:27
kbs2-0.7.3-r0.apk
1.23MB
2025-07-30 10:29:00
kbs2-bash-completion-0.7.3-r0.apk
3.23KB
2025-07-30 10:29:00
kbs2-fish-completion-0.7.3-r0.apk
3.44KB
2025-07-30 10:29:00
kbs2-zsh-completion-0.7.3-r0.apk
4.04KB
2025-07-30 10:29:00
kcbench-0.9.13-r0.apk
37.19KB
2025-10-23 23:58:15
kcbench-doc-0.9.13-r0.apk
20.29KB
2025-10-23 23:58:15
kdiskmark-3.2.0-r0.apk
169.56KB
2025-07-15 20:20:57
kdiskmark-lang-3.2.0-r0.apk
31.82KB
2025-07-15 20:20:57
kerberoast-0.2.0-r2.apk
9.33KB
2025-05-29 14:57:04
kerberoast-pyc-0.2.0-r2.apk
15.06KB
2025-05-29 14:57:04
kew-3.6.4-r0.apk
499.03KB
2025-10-21 08:32:25
kew-doc-3.6.4-r0.apk
3.63KB
2025-10-21 08:32:25
keybase-client-6.2.8-r15.apk
17.54MB
2025-12-04 15:54:18
keystone-0.9.2-r6.apk
1.42MB
2024-10-25 23:06:28
keystone-dev-0.9.2-r6.apk
7.31KB
2024-10-25 23:06:28
keystone-python-0.9.2-r6.apk
1.61MB
2024-10-25 23:06:28
keystone-python-pyc-0.9.2-r6.apk
9.67KB
2024-10-25 23:06:28
kfc-0.1.4-r0.apk
57.73KB
2024-10-25 23:06:28
khinsider-2.0.7-r25.apk
3.45MB
2025-12-04 15:54:18
khronos-4.0.1-r0.apk
48.51KB
2024-10-25 23:06:28
khronos-lang-4.0.1-r0.apk
25.71KB
2024-10-25 23:06:28
kimchi-3.0.0-r8.apk
528.90KB
2025-02-10 23:13:37
kimchi-lang-3.0.0-r8.apk
171.90KB
2025-02-10 23:13:37
kimchi-pyc-3.0.0-r8.apk
476.08KB
2025-02-10 23:13:37
kine-0.10.1-r18.apk
7.75MB
2025-12-04 15:54:18
kine-doc-0.10.1-r18.apk
5.15KB
2025-12-04 15:54:18
kirc-0.3.3-r0.apk
15.25KB
2025-02-22 13:09:23
kirc-doc-0.3.3-r0.apk
2.78KB
2025-02-22 13:09:23
kismet-0.202509.1-r0.apk
11.84MB
2025-10-09 07:08:11
kismet-linux-bluetooth-0.202509.1-r0.apk
42.88KB
2025-10-09 07:08:11
kismet-linux-wifi-0.202509.1-r0.apk
61.79KB
2025-10-09 07:08:11
kismet-logtools-0.202509.1-r0.apk
1.06MB
2025-10-09 07:08:11
kismet-nrf-51822-0.202509.1-r0.apk
40.98KB
2025-10-09 07:08:11
kismet-nxp-kw41z-0.202509.1-r0.apk
42.49KB
2025-10-09 07:08:11
kjv-0_git20221103-r0.apk
1.54MB
2024-10-25 23:06:29
klong-20221212-r0.apk
331.80KB
2025-06-07 18:16:55
kmscon-9.1.0-r1.apk
833.60KB
2025-10-30 16:14:28
kmscon-doc-9.1.0-r1.apk
8.40KB
2025-10-30 16:14:28
kmscon-systemd-9.1.0-r1.apk
2.70KB
2025-10-30 16:14:28
knative-client-1.19.6-r1.apk
22.86MB
2025-12-04 15:54:19
knative-client-bash-completion-1.19.6-r1.apk
10.15KB
2025-12-04 15:54:19
knative-client-fish-completion-1.19.6-r1.apk
4.31KB
2025-12-04 15:54:19
knative-client-zsh-completion-1.19.6-r1.apk
4.04KB
2025-12-04 15:54:19
knxd-0.14.61-r1.apk
373.50KB
2024-12-14 20:56:25
knxd-dev-0.14.61-r1.apk
23.59KB
2024-12-14 20:56:25
ko-0.17.1-r10.apk
10.11MB
2025-12-04 15:54:19
ko-bash-completion-0.17.1-r10.apk
5.04KB
2025-12-04 15:54:19
ko-fish-completion-0.17.1-r10.apk
4.29KB
2025-12-04 15:54:19
ko-zsh-completion-0.17.1-r10.apk
4.02KB
2025-12-04 15:54:19
komikku-1.85.0-r0.apk
444.10KB
2025-08-22 11:07:19
komikku-lang-1.85.0-r0.apk
284.29KB
2025-08-22 11:07:19
komikku-pyc-1.85.0-r0.apk
797.61KB
2025-08-22 11:07:19
kompose-1.31.2-r15.apk
7.22MB
2025-12-04 15:54:19
kompose-bash-completion-1.31.2-r15.apk
5.59KB
2025-12-04 15:54:19
kompose-fish-completion-1.31.2-r15.apk
4.37KB
2025-12-04 15:54:19
kompose-zsh-completion-1.31.2-r15.apk
6.78KB
2025-12-04 15:54:19
kondo-0.8-r0.apk
688.74KB
2024-10-25 23:06:29
kondo-bash-completion-0.8-r0.apk
2.08KB
2024-10-25 23:06:29
kondo-fish-completion-0.8-r0.apk
2.07KB
2024-10-25 23:06:29
kondo-zsh-completion-0.8-r0.apk
2.43KB
2024-10-25 23:06:29
kraftkit-0.12.3-r1.apk
20.23MB
2025-12-04 15:54:20
kraftkit-bash-completion-0.12.3-r1.apk
6.11KB
2025-12-04 15:54:20
kraftkit-doc-0.12.3-r1.apk
73.20KB
2025-12-04 15:54:20
kraftkit-fish-completion-0.12.3-r1.apk
4.33KB
2025-12-04 15:54:20
kraftkit-zsh-completion-0.12.3-r1.apk
4.04KB
2025-12-04 15:54:20
kronosnet-1.30-r0.apk
78.22KB
2025-05-28 02:07:46
kronosnet-dev-1.30-r0.apk
2.45MB
2025-05-28 02:07:46
kronosnet-doc-1.30-r0.apk
105.72KB
2025-05-28 02:07:46
ktx-4.3.2-r1.apk
1.14MB
2025-06-10 05:49:42
ktx-dev-4.3.2-r1.apk
28.91KB
2025-06-10 05:49:42
ktx-libs-4.3.2-r1.apk
1.29MB
2025-06-10 05:49:42
kube-no-trouble-0.7.3-r10.apk
13.48MB
2025-12-04 15:54:20
kubeconform-0.7.0-r3.apk
3.63MB
2025-12-04 15:54:20
kubepug-1.7.1-r15.apk
16.25MB
2025-12-04 15:54:20
kubepug-bash-completion-1.7.1-r15.apk
5.13KB
2025-12-04 15:54:20
kubepug-fish-completion-1.7.1-r15.apk
4.34KB
2025-12-04 15:54:20
kubepug-zsh-completion-1.7.1-r15.apk
4.05KB
2025-12-04 15:54:20
kubeseal-0.32.1-r2.apk
11.51MB
2025-12-04 15:54:21
kubeseal-doc-0.32.1-r2.apk
5.52KB
2025-12-04 15:54:21
kubesplit-0.3.3-r1.apk
12.67KB
2024-10-25 23:06:30
kubesplit-pyc-0.3.3-r1.apk
12.96KB
2024-10-25 23:06:30
lavacli-2.4-r0.apk
49.32KB
2025-06-15 07:01:38
lavacli-doc-2.4-r0.apk
34.17KB
2025-06-15 07:01:38
lavacli-pyc-2.4-r0.apk
88.17KB
2025-06-15 07:01:38
laze-0.1.38-r0.apk
1.09MB
2025-07-24 04:27:26
laze-bash-completion-0.1.38-r0.apk
3.15KB
2025-07-24 04:27:26
laze-doc-0.1.38-r0.apk
3.60KB
2025-07-24 04:27:26
laze-fish-completion-0.1.38-r0.apk
3.44KB
2025-07-24 04:27:26
laze-zsh-completion-0.1.38-r0.apk
3.68KB
2025-07-24 04:27:26
lbb-0.10.4-r1.apk
3.20MB
2025-12-04 15:54:21
lbb-doc-0.10.4-r1.apk
20.28KB
2025-12-04 15:54:21
lcalc-2.1.0-r0.apk
170.10KB
2025-05-03 11:31:01
lcalc-dev-2.1.0-r0.apk
51.36KB
2025-05-03 11:31:01
lcalc-doc-2.1.0-r0.apk
436.77KB
2025-05-03 11:31:01
lcalc-libs-2.1.0-r0.apk
232.57KB
2025-05-03 11:31:01
ldapdomaindump-0.10.0-r0.apk
18.24KB
2025-07-30 22:18:50
ldapdomaindump-pyc-0.10.0-r0.apk
30.36KB
2025-07-30 22:18:50
ledmon-1.0.0-r0.apk
75.53KB
2024-10-25 23:06:31
ledmon-doc-1.0.0-r0.apk
14.08KB
2024-10-25 23:06:31
lefthook-2.0.4-r1.apk
5.76MB
2025-12-04 15:54:21
lefthook-doc-2.0.4-r1.apk
2.25KB
2025-12-04 15:54:21
legume-1.4.2-r14.apk
1.48MB
2025-12-04 15:54:21
legume-doc-1.4.2-r14.apk
12.32KB
2025-12-04 15:54:21
leptosfmt-0.1.33-r0.apk
1.01MB
2025-03-25 17:06:55
leptosfmt-doc-0.1.33-r0.apk
6.04KB
2025-03-25 17:06:55
levmar-dev-2.6-r0.apk
45.69KB
2024-10-25 23:06:31
lfm-3.1-r4.apk
88.12KB
2024-10-25 23:06:31
lfm-doc-3.1-r4.apk
2.76KB
2024-10-25 23:06:31
lfm-pyc-3.1-r4.apk
133.72KB
2024-10-25 23:06:31
lgogdownloader-3.16-r1.apk
357.23KB
2025-03-25 17:01:24
lgogdownloader-doc-3.16-r1.apk
8.51KB
2025-03-25 17:01:24
libabigail-2.8-r0.apk
936.13KB
2025-11-02 21:50:41
libabigail-bash-completion-2.8-r0.apk
2.82KB
2025-11-02 21:50:41
libabigail-dev-2.8-r0.apk
1.61MB
2025-11-02 21:50:41
libabigail-doc-2.8-r0.apk
76.81KB
2025-11-02 21:50:41
libabigail-tools-2.8-r0.apk
114.39KB
2025-11-02 21:50:41
libantlr3c-3.4-r3.apk
38.01KB
2024-10-25 23:06:31
libantlr3c-dev-3.4-r3.apk
58.38KB
2024-10-25 23:06:31
libantlr4-4.13.2-r0.apk
397.40KB
2024-12-12 14:30:02
libantlr4-dev-4.13.2-r0.apk
862.94KB
2024-12-12 14:30:02
libaudec-0.3.4-r3.apk
28.27KB
2024-10-25 23:06:31
libaudec-dev-0.3.4-r3.apk
4.26KB
2024-10-25 23:06:31
libaudec-static-0.3.4-r3.apk
29.91KB
2024-10-25 23:06:31
libaudec-tools-0.3.4-r3.apk
28.43KB
2024-10-25 23:06:31
libb64-2.0.0.1-r0.apk
4.53KB
2024-10-25 23:06:31
libb64-dev-2.0.0.1-r0.apk
5.52KB
2024-10-25 23:06:31
libb64-doc-2.0.0.1-r0.apk
8.04KB
2024-10-25 23:06:31
libbamf-0.5.6-r1.apk
133.03KB
2024-10-25 23:06:31
libbamf-dev-0.5.6-r1.apk
6.39KB
2024-10-25 23:06:31
libbamf-doc-0.5.6-r1.apk
31.06KB
2024-10-25 23:06:31
libbraiding-1.3.1-r0.apk
78.58KB
2024-11-20 00:34:16
libbraiding-dev-1.3.1-r0.apk
15.07KB
2024-11-20 00:34:16
libbsoncxx-3.8.0-r0.apk
42.13KB
2024-10-25 23:06:31
libbsoncxx-dev-3.8.0-r0.apk
38.66KB
2024-10-25 23:06:31
libcec-rpi-6.0.2-r4.apk
169.63KB
2024-10-25 23:06:31
libcec-rpi-dev-6.0.2-r4.apk
24.59KB
2024-10-25 23:06:31
libcli-1.10.7-r0.apk
25.78KB
2024-10-25 23:06:31
libcob4-3.2-r0.apk
206.45KB
2025-07-28 17:02:40
libcork-0.15.0-r7.apk
28.68KB
2024-10-25 23:06:31
libcork-dev-0.15.0-r7.apk
29.97KB
2024-10-25 23:06:31
libcork-tools-0.15.0-r7.apk
4.57KB
2024-10-25 23:06:31
libcorkipset-1.1.1-r4.apk
11.18KB
2024-10-25 23:06:31
libcorkipset-dev-1.1.1-r4.apk
8.08KB
2024-10-25 23:06:31
libcorkipset-tools-1.1.1-r4.apk
11.61KB
2024-10-25 23:06:31
libcotp-3.1.1-r0.apk
7.94KB
2025-10-04 01:25:15
libcotp-dev-3.1.1-r0.apk
2.53KB
2025-10-04 01:25:15
libcpdf-2.8.1-r0.apk
2.26MB
2025-05-15 00:14:06
libcpdf-dev-2.8.1-r0.apk
16.75KB
2025-05-15 00:14:06
libcpdf-static-2.8.1-r0.apk
3.24MB
2025-05-15 00:14:06
libctl-4.5.1-r1.apk
86.98KB
2024-10-25 23:06:31
libctl-dev-4.5.1-r1.apk
38.55KB
2024-10-25 23:06:31
libctl-doc-4.5.1-r1.apk
3.02KB
2024-10-25 23:06:31
libcyaml-1.4.2-r0.apk
18.72KB
2024-10-25 23:06:31
libcyaml-dev-1.4.2-r0.apk
12.86KB
2024-10-25 23:06:31
libcyaml-doc-1.4.2-r0.apk
8.65KB
2024-10-25 23:06:31
libcyaml-static-1.4.2-r0.apk
21.43KB
2024-10-25 23:06:31
libdbusaccess-1.0.20-r1.apk
15.30KB
2025-08-09 02:40:00
libdbusaccess-dev-1.0.20-r1.apk
5.00KB
2025-08-09 02:40:00
libdcmtk-3.6.9-r0.apk
6.43MB
2025-04-08 01:06:02
libdng-0.2.1-r0.apk
10.74KB
2024-12-28 00:09:55
libdng-dev-0.2.1-r0.apk
3.20KB
2024-12-28 00:09:55
libdng-doc-0.2.1-r0.apk
4.20KB
2024-12-28 00:09:55
libdng-utils-0.2.1-r0.apk
5.85KB
2024-12-28 00:09:55
libeantic-2.1.0-r2.apk
73.73KB
2025-11-26 10:23:47
libeantic-dev-2.1.0-r2.apk
17.51KB
2025-11-26 10:23:47
libecap-1.0.1-r1.apk
13.27KB
2024-10-25 23:06:31
libecap-dev-1.0.1-r1.apk
11.42KB
2024-10-25 23:06:31
libecap-static-1.0.1-r1.apk
18.82KB
2024-10-25 23:06:31
libemf2svg-1.1.0-r3.apk
141.05KB
2025-10-08 00:03:34
libemf2svg-utils-1.1.0-r3.apk
16.95KB
2025-10-08 00:03:34
liberasurecode-1.6.3-r1.apk
35.90KB
2024-10-25 23:06:31
liberasurecode-dev-1.6.3-r1.apk
18.26KB
2024-10-25 23:06:31
libetebase-0.5.8-r0.apk
875.13KB
2025-09-27 22:40:45
libetebase-dev-0.5.8-r0.apk
10.89KB
2025-09-27 22:40:45
libettercap-0.8.3.1-r3.apk
193.99KB
2024-10-25 23:06:31
libfishsound-1.0.0-r1.apk
7.91KB
2024-10-25 23:06:31
libfishsound-dev-1.0.0-r1.apk
54.00KB
2024-10-25 23:06:31
libfishsound-doc-1.0.0-r1.apk
75.32KB
2024-10-25 23:06:31
libfoma-0.10.0_git20240712-r0.apk
96.01KB
2024-10-25 23:06:31
libfort-0.4.2-r0.apk
29.04KB
2024-10-25 23:06:31
libfort-dev-0.4.2-r0.apk
17.43KB
2024-10-25 23:06:31
libgdcm-3.2.2-r3.apk
2.76MB
2025-12-02 06:28:54
libgivaro-4.2.0-r2.apk
73.56KB
2024-10-25 23:06:31
libgivaro-dev-4.2.0-r2.apk
244.42KB
2024-10-25 23:06:31
libgivaro-static-4.2.0-r2.apk
94.55KB
2024-10-25 23:06:31
libglib-testing-0.1.1-r0.apk
12.04KB
2025-05-15 00:14:06
libglib-testing-dev-0.1.1-r0.apk
5.52KB
2025-05-15 00:14:06
libglib-testing-doc-0.1.1-r0.apk
25.02KB
2025-05-15 00:14:06
libgrapheme-2.0.2-r0.apk
22.88KB
2025-07-24 04:27:26
libgrapheme-dev-2.0.2-r0.apk
30.37KB
2025-07-24 04:27:26
libgrapheme-doc-2.0.2-r0.apk
20.65KB
2025-07-24 04:27:26
libguestfs-1.56.1-r0.apk
344.66KB
2025-07-24 04:27:26
libguestfs-dev-1.56.1-r0.apk
29.42KB
2025-07-24 04:27:26
libguestfs-doc-1.56.1-r0.apk
568.56KB
2025-07-24 04:27:26
libguestfs-static-1.56.1-r0.apk
474.95KB
2025-07-24 04:27:26
libhomfly-1.02_p6-r1.apk
13.97KB
2024-10-25 23:06:31
libhomfly-dev-1.02_p6-r1.apk
16.87KB
2024-10-25 23:06:31
libhwpwm-0.4.4-r0.apk
5.96KB
2024-10-25 23:06:31
libhwpwm-dev-0.4.4-r0.apk
5.38KB
2024-10-25 23:06:31
libhwpwm-doc-0.4.4-r0.apk
13.02KB
2024-10-25 23:06:31
libideviceactivation-1.1.1-r5.apk
15.79KB
2024-10-31 00:44:42
libideviceactivation-dev-1.1.1-r5.apk
3.31KB
2024-10-31 00:44:42
libideviceactivation-doc-1.1.1-r5.apk
2.18KB
2024-10-31 00:44:42
libigraph-1.0.0-r0.apk
1.63MB
2025-10-28 00:18:34
libigraph-dev-1.0.0-r0.apk
89.73KB
2025-10-28 00:18:34
libiio-0.25-r2.apk
46.98KB
2024-10-25 23:06:31
libiio-dev-0.25-r2.apk
13.35KB
2024-10-25 23:06:31
libiio-doc-0.25-r2.apk
17.86KB
2024-10-25 23:06:31
libiio-pyc-0.25-r2.apk
20.95KB
2024-10-25 23:06:31
libiio-tools-0.25-r2.apk
70.61KB
2024-10-25 23:06:31
libinfnoise-0.3.3-r0.apk
13.61KB
2025-05-26 11:58:45
libirecovery-1.3.0-r0.apk
25.84KB
2025-10-08 00:03:34
libirecovery-dev-1.3.0-r0.apk
4.16KB
2025-10-08 00:03:34
libirecovery-progs-1.3.0-r0.apk
8.29KB
2025-10-08 00:03:34
libiscsi-1.19.0-r2.apk
55.98KB
2024-10-25 23:06:31
libiscsi-dev-1.19.0-r2.apk
20.41KB
2024-10-25 23:06:31
libiscsi-doc-1.19.0-r2.apk
9.28KB
2024-10-25 23:06:31
libiscsi-static-1.19.0-r2.apk
70.26KB
2024-10-25 23:06:31
libiscsi-utils-1.19.0-r2.apk
81.62KB
2024-10-25 23:06:31
libjodycode-3.1.1-r0.apk
7.34KB
2024-10-25 23:06:31
libjodycode-dev-3.1.1-r0.apk
4.21KB
2024-10-25 23:06:31
libjodycode-doc-3.1.1-r0.apk
3.66KB
2024-10-25 23:06:31
liblastfm-qt-1.1.10_git20190823-r3.apk
151.99KB
2024-10-25 23:06:31
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
19.62KB
2024-10-25 23:06:31
libm4ri-20240729-r2.apk
131.65KB
2025-01-15 20:06:49
libm4ri-dev-20240729-r2.apk
31.51KB
2025-01-15 20:06:49
libm4ri-static-20240729-r2.apk
141.69KB
2025-01-15 20:06:49
libm4rie-20200125-r5.apk
222.81KB
2025-01-15 20:06:49
libm4rie-dev-20200125-r5.apk
24.40KB
2025-01-15 20:06:49
libm4rie-static-20200125-r5.apk
236.32KB
2025-01-15 20:06:49
libmdbx-0.11.8-r0.apk
721.58KB
2024-10-25 23:06:31
libmdbx-dbg-0.11.8-r0.apk
2.31MB
2024-10-25 23:06:31
libmdbx-dev-0.11.8-r0.apk
93.19KB
2024-10-25 23:06:31
libmdbx-doc-0.11.8-r0.apk
8.85KB
2024-10-25 23:06:31
libmdf-1.0.29-r0.apk
34.76KB
2024-10-25 23:06:31
libmdf-dev-1.0.29-r0.apk
13.82KB
2024-10-25 23:06:31
libmhash-0.9.9.9-r3.apk
100.71KB
2024-10-25 23:06:31
libmhash-dev-0.9.9.9-r3.apk
112.89KB
2024-10-25 23:06:31
libmhash-doc-0.9.9.9-r3.apk
8.15KB
2024-10-25 23:06:31
libmpfi-1.5.4-r2.apk
27.98KB
2024-10-25 23:06:31
libmpfi-dev-1.5.4-r2.apk
5.36KB
2024-10-25 23:06:31
libmpfi-doc-1.5.4-r2.apk
18.73KB
2024-10-25 23:06:31
libmpfi-static-1.5.4-r2.apk
41.51KB
2024-10-25 23:06:31
libmrss-0.19.2-r1.apk
18.42KB
2024-10-25 23:06:31
libmrss-dev-0.19.2-r1.apk
29.18KB
2024-10-25 23:06:31
libmustache-0.5.0-r1.apk
81.23KB
2024-10-25 23:06:31
libmygpo-qt-1.1.0-r2.apk
68.45KB
2024-10-25 23:06:31
libmygpo-qt-dev-1.1.0-r2.apk
11.86KB
2024-10-25 23:06:31
libmysofa-1.3.2-r0.apk
24.78KB
2024-10-25 23:06:31
libmysofa-dev-1.3.2-r0.apk
7.00KB
2024-10-25 23:06:31
libmysofa-tools-1.3.2-r0.apk
1.07MB
2024-10-25 23:06:31
libnest2d-0.4-r7.apk
1.21KB
2025-02-06 06:42:36
libnest2d-dev-0.4-r7.apk
69.87KB
2025-02-06 06:42:36
libnfcdef-1.0.1-r1.apk
11.47KB
2025-08-09 02:40:00
libnfcdef-dev-1.0.1-r1.apk
5.73KB
2025-08-09 02:40:00
libnih-1.0.3-r7.apk
119.83KB
2024-10-25 23:06:31
libnih-dev-1.0.3-r7.apk
116.87KB
2024-10-25 23:06:31
libnih-doc-1.0.3-r7.apk
2.71KB
2024-10-25 23:06:31
libntl-11.6.0-r0.apk
1.03MB
2025-11-08 09:13:52
libntl-dev-11.6.0-r0.apk
157.06KB
2025-11-08 09:13:52
libntl-doc-11.6.0-r0.apk
365.53KB
2025-11-08 09:13:52
libntl-static-11.6.0-r0.apk
1.56MB
2025-11-08 09:13:52
libnxml-0.18.3-r0.apk
19.48KB
2024-10-25 23:06:32
libnxml-dev-0.18.3-r0.apk
28.27KB
2024-10-25 23:06:32
libofx-0.10.9-r1.apk
62.29KB
2024-10-25 23:06:32
libofx-dev-0.10.9-r1.apk
19.56KB
2024-10-25 23:06:32
libofx-tools-0.10.9-r1.apk
103.79KB
2024-10-25 23:06:32
liboggz-1.1.1-r2.apk
117.63KB
2024-10-25 23:06:32
liboggz-dev-1.1.1-r2.apk
154.89KB
2024-10-25 23:06:32
liboggz-doc-1.1.1-r2.apk
134.23KB
2024-10-25 23:06:32
libqd-2.3.24-r0.apk
147.35KB
2024-10-25 23:06:32
libqd-dev-2.3.24-r0.apk
57.75KB
2024-10-25 23:06:32
libqd-doc-2.3.24-r0.apk
182.43KB
2024-10-25 23:06:32
libqd-static-2.3.24-r0.apk
235.64KB
2024-10-25 23:06:32
libqtdbusmock-0.9.1-r2.apk
63.35KB
2025-02-17 14:07:16
libqtdbustest-0.4.0-r0.apk
28.32KB
2025-09-03 22:33:58
libre-4.1.0-r0.apk
255.24KB
2025-10-03 10:59:15
libre-dev-4.1.0-r0.apk
425.98KB
2025-10-03 10:59:15
libreoffice-voikko-5.0_git20200127-r0.apk
45.37KB
2024-10-25 23:06:32
librespot-0.8.0-r0.apk
2.37MB
2025-11-19 20:22:29
librespot-openrc-0.8.0-r0.apk
1.87KB
2025-11-19 20:22:29
libresprite-1.2-r0.apk
14.67MB
2025-04-14 01:16:03
libresprite-doc-1.2-r0.apk
14.72KB
2025-04-14 01:16:03
libretro-atari800-0_git20240924-r0.apk
268.84KB
2024-10-25 23:06:32
libretro-beetle-pce-fast-0_git20220205-r0.apk
342.81KB
2024-10-25 23:06:32
libretro-beetle-pcfx-0_git20220409-r0.apk
280.96KB
2024-10-25 23:06:32
libretro-beetle-saturn-0_git20220417-r0.apk
1.41MB
2024-10-25 23:06:32
libretro-beetle-supergrafx-0_git20220218-r0.apk
352.41KB
2024-10-25 23:06:32
libretro-bluemsx-0_git20240808-r0.apk
590.31KB
2024-10-25 23:06:32
libretro-cannonball-0_git20220309-r6.apk
222.92KB
2024-10-25 23:06:32
libretro-cap32-0_git20220419-r0.apk
293.37KB
2024-10-25 23:06:32
libretro-crocods-0_git20210314-r1.apk
258.31KB
2024-10-25 23:06:32
libretro-daphne-0_git20210108-r2.apk
561.55KB
2024-10-25 23:06:32
libretro-dinothawr-0_git20220401-r0.apk
119.23KB
2024-10-25 23:06:32
libretro-fbneo-0_git20220416-r0.apk
9.68MB
2024-10-25 23:06:32
libretro-freeintv-0_git20220319-r0.apk
32.82KB
2024-10-25 23:06:32
libretro-frodo-0_git20221221-r0.apk
146.89KB
2024-10-25 23:06:32
libretro-fuse-0_git20220417-r0.apk
841.00KB
2024-10-25 23:06:32
libretro-genesis-plus-gx-0_git20230503-r0.apk
833.29KB
2024-10-25 23:06:32
libretro-gme-0_git20240628-r0.apk
173.24KB
2024-10-25 23:06:32
libretro-gong-0_git20220319-r0.apk
8.59KB
2024-10-25 23:06:32
libretro-gw-0_git20220410-r0.apk
161.77KB
2024-10-25 23:06:32
libretro-mame2000-0_git20240701-r0.apk
2.61MB
2024-10-25 23:06:32
libretro-mame2003-0_git20240904-r0.apk
6.69MB
2024-10-25 23:06:32
libretro-mu-0_git20220317-r0.apk
144.33KB
2024-10-25 23:06:32
libretro-neocd-0_git20220325-r1.apk
386.61KB
2024-10-25 23:06:32
libretro-nxengine-0_git20220301-r0.apk
271.24KB
2024-10-25 23:06:32
libretro-openlara-0_git20210121-r0.apk
457.21KB
2024-10-25 23:06:32
libretro-opera-0_git20211214-r0.apk
161.23KB
2024-10-25 23:06:32
libretro-parallel-n64-0_git20220406-r0.apk
864.90KB
2024-10-25 23:06:32
libretro-picodrive-0_git20220405-r0.apk
439.58KB
2024-10-25 23:06:32
libretro-pocketcdg-0_git20220327-r0.apk
82.09KB
2024-10-25 23:06:32
libretro-ppsspp-0_git20210516-r15.apk
2.35MB
2025-04-10 23:24:30
libretro-scummvm-0_git20210325-r0.apk
17.83MB
2024-10-25 23:06:32
libretro-snes9x-0_git20240819-r0.apk
687.75KB
2024-10-25 23:06:32
libretro-theodore-3.1-r0.apk
870.70KB
2024-10-25 23:06:32
libretro-tyrquake-0_git20220409-r0.apk
368.16KB
2024-10-25 23:06:32
libretro-xrick-0_git20220331-r0.apk
111.23KB
2024-10-25 23:06:32
libsbsms-2.3.0-r0.apk
95.09KB
2024-10-25 23:06:32
libsbsms-dev-2.3.0-r0.apk
126.49KB
2024-10-25 23:06:32
libsds-2.0.0-r1.apk
9.63KB
2024-10-25 23:06:32
libsds-dev-2.0.0-r1.apk
3.78KB
2024-10-25 23:06:32
libsemanage-3.6-r1.apk
89.18KB
2024-10-25 23:06:32
libsemanage-dev-3.6-r1.apk
137.15KB
2024-10-25 23:06:32
libsemanage-doc-3.6-r1.apk
22.91KB
2024-10-25 23:06:32
libsemigroups-2.7.3-r1.apk
610.17KB
2024-12-14 20:56:25
libsemigroups-dev-2.7.3-r1.apk
334.51KB
2024-12-14 20:56:25
libsemigroups-static-2.7.3-r1.apk
1.40MB
2024-12-14 20:56:25
libserialport-0.1.1-r1.apk
21.92KB
2024-10-25 23:06:32
libserialport-dev-0.1.1-r1.apk
38.76KB
2024-10-25 23:06:32
libsigrok-0.5.2-r3.apk
478.68KB
2024-10-25 23:06:32
libsigrok-dev-0.5.2-r3.apk
30.67KB
2024-10-25 23:06:32
libsigrokdecode-0.5.3-r4.apk
333.30KB
2024-10-25 23:06:32
libsigrokdecode-dev-0.5.3-r4.apk
37.00KB
2024-10-25 23:06:32
libsimpleble-0.10.3-r0.apk
185.11KB
2025-07-15 11:22:15
libsimpleble-c-0.10.3-r0.apk
14.20KB
2025-07-15 11:22:15
libsimplebluez-0.10.3-r0.apk
132.22KB
2025-07-15 11:22:15
libsirocco-2.1.1-r0.apk
55.74KB
2025-08-31 20:16:44
libsirocco-dev-2.1.1-r0.apk
2.20KB
2025-08-31 20:16:44
libspatialindex-2.1.0-r1.apk
306.98KB
2025-11-10 06:37:09
libspatialindex-dev-2.1.0-r1.apk
21.42KB
2025-11-10 06:37:09
libstirshaken-0_git20240208-r4.apk
52.46KB
2025-04-29 22:46:40
libstirshaken-dev-0_git20240208-r4.apk
81.17KB
2025-04-29 22:46:40
libstirshaken-tools-0_git20240208-r4.apk
158.84KB
2025-04-29 22:46:40
libtatsu-1.0.5-r0.apk
16.43KB
2025-10-08 00:03:34
libtatsu-dev-1.0.5-r0.apk
22.46KB
2025-10-08 00:03:34
libtins-4.5-r2.apk
285.49KB
2025-10-15 11:21:53
libtins-dev-4.5-r2.apk
137.80KB
2025-10-15 11:21:53
libtins-doc-4.5-r2.apk
2.33KB
2025-10-15 11:21:53
libtsm-4.1.0-r0.apk
24.66KB
2025-07-08 09:01:01
libtsm-dev-4.1.0-r0.apk
10.97KB
2025-07-08 09:01:01
libucl-0.9.0-r0.apk
51.53KB
2024-10-25 23:06:33
libucl-dev-0.9.0-r0.apk
77.53KB
2024-10-25 23:06:33
libucl-doc-0.9.0-r0.apk
8.83KB
2024-10-25 23:06:33
libuecc-7-r4.apk
8.88KB
2025-03-03 18:08:48
libuecc-dev-7-r4.apk
4.63KB
2025-03-03 18:08:48
libuninameslist-20230916-r0.apk
425.17KB
2024-10-25 23:06:33
libuninameslist-dev-20230916-r0.apk
3.44KB
2024-10-25 23:06:33
libuninameslist-doc-20230916-r0.apk
2.02KB
2024-10-25 23:06:33
libupstart-2.0.3-r5.apk
72.39KB
2024-10-25 23:06:33
libvalkey-0.2.1-r0.apk
55.78KB
2025-11-17 13:56:40
libvalkey-dev-0.2.1-r0.apk
308.87KB
2025-11-17 13:56:40
libvalkey-tls-0.2.1-r0.apk
6.03KB
2025-11-17 13:56:40
libvdpau-va-gl-0.4.2-r0.apk
51.33KB
2024-10-25 23:06:33
libvisio2svg-0.5.5-r3.apk
13.37KB
2024-10-25 23:06:33
libvisio2svg-dev-0.5.5-r3.apk
2.92KB
2024-10-25 23:06:33
libvisio2svg-utils-0.5.5-r3.apk
109.35KB
2024-10-25 23:06:33
libvmaf-3.0.0-r0.apk
324.52KB
2024-10-25 23:06:33
libvmaf-dev-3.0.0-r0.apk
189.56KB
2024-10-25 23:06:33
libvoikko-4.3.2-r1.apk
116.27KB
2024-10-25 23:06:33
libvoikko-dev-4.3.2-r1.apk
9.91KB
2024-10-25 23:06:33
libvoikko-doc-4.3.2-r1.apk
5.72KB
2024-10-25 23:06:33
libwbxml-0.11.8-r0.apk
61.46KB
2024-10-25 23:06:33
libwbxml-dev-0.11.8-r0.apk
8.96KB
2024-10-25 23:06:33
libwbxml-doc-0.11.8-r0.apk
28.27KB
2024-10-25 23:06:33
libwhich-1.2.0-r0.apk
4.65KB
2024-10-25 23:06:33
libwmiclient-1.3.16-r5.apk
1.45KB
2024-10-25 23:06:33
libwmiclient-dev-1.3.16-r5.apk
1.69KB
2024-10-25 23:06:33
libxml++-5.4.0-r0.apk
61.09KB
2025-02-13 09:45:53
libxml++-dev-5.4.0-r0.apk
29.37KB
2025-02-13 09:45:53
libxo-1.7.5-r0.apk
175.12KB
2025-01-13 00:45:45
libxo-dev-1.7.5-r0.apk
71.80KB
2025-01-13 00:45:45
libxo-doc-1.7.5-r0.apk
62.82KB
2025-01-13 00:45:45
libzrtpcpp-4.7.0-r0.apk
163.83KB
2025-01-04 23:55:14
libzrtpcpp-dev-4.7.0-r0.apk
37.86KB
2025-01-04 23:55:14
libzvbi-0.2.44-r0.apk
221.69KB
2025-03-11 22:40:49
libzvbi-dev-0.2.44-r0.apk
14.31KB
2025-03-11 22:40:49
libzvbi-static-0.2.44-r0.apk
273.85KB
2025-03-11 22:40:49
licenseheaders-0.8.8-r4.apk
17.89KB
2024-10-25 23:06:33
licenseheaders-pyc-0.8.8-r4.apk
18.41KB
2024-10-25 23:06:33
limnoria-20240828-r0.apk
1.08MB
2024-10-25 23:06:33
limnoria-doc-20240828-r0.apk
8.40KB
2024-10-25 23:06:33
limnoria-pyc-20240828-r0.apk
1.22MB
2024-10-25 23:06:33
linkchecker-10.6.0-r0.apk
181.10KB
2025-08-10 14:38:07
linkchecker-doc-10.6.0-r0.apk
39.07KB
2025-08-10 14:38:07
linkchecker-pyc-10.6.0-r0.apk
253.67KB
2025-08-10 14:38:07
linphone-5.3.38-r0.apk
9.00MB
2024-10-25 23:06:33
linphone-dev-5.3.38-r0.apk
249.72KB
2024-10-25 23:06:33
linphone-libs-5.3.38-r0.apk
2.60MB
2024-10-25 23:06:33
linux-apfs-rw-src-0.3.8-r0.apk
197.04KB
2024-10-25 23:06:33
linux-gpib-4.3.7-r0.apk
57.62KB
2025-12-07 01:07:14
linux-gpib-dev-4.3.7-r0.apk
7.67KB
2025-12-07 01:07:14
linux-gpib-doc-4.3.7-r0.apk
326.37KB
2025-12-07 01:07:14
linux-gpib-udev-4.3.7-r0.apk
2.62KB
2025-12-07 01:07:14
linux-timemachine-1.3.2-r0.apk
5.05KB
2024-10-25 23:06:33
linuxptp-4.4-r0.apk
1.22KB
2024-11-20 00:34:16
linuxptp-doc-4.4-r0.apk
38.44KB
2024-11-20 00:34:16
linuxptp-hwstamp_ctl-4.4-r0.apk
4.36KB
2024-11-20 00:34:16
linuxptp-nsm-4.4-r0.apk
35.31KB
2024-11-20 00:34:16
linuxptp-phc2sys-4.4-r0.apk
40.33KB
2024-11-20 00:34:16
linuxptp-phc_ctl-4.4-r0.apk
10.78KB
2024-11-20 00:34:16
linuxptp-pmc-4.4-r0.apk
38.58KB
2024-11-20 00:34:16
linuxptp-ptp4l-4.4-r0.apk
83.43KB
2024-11-20 00:34:16
linuxptp-timemaster-4.4-r0.apk
15.43KB
2024-11-20 00:34:16
linuxptp-ts2phc-4.4-r0.apk
39.25KB
2024-11-20 00:34:16
linuxptp-tz2alt-4.4-r0.apk
20.93KB
2024-11-20 00:34:16
liquibase-4.9.1-r0.apk
31.63MB
2024-10-25 23:06:34
liquibase-doc-4.9.1-r0.apk
56.89KB
2024-10-25 23:06:34
litehtml-0.9-r2.apk
280.96KB
2025-02-17 14:07:16
litehtml-dev-0.9-r2.apk
41.65KB
2025-02-17 14:07:16
litehtml-static-0.9-r2.apk
485.01KB
2025-02-17 14:07:16
litterbox-1.9-r2.apk
31.58KB
2025-09-13 04:00:11
litterbox-doc-1.9-r2.apk
7.21KB
2025-09-13 04:00:11
lizardfs-3.13.0-r17.apk
104.21KB
2025-06-19 11:34:10
lizardfs-bash-completion-3.13.0-r17.apk
1.89KB
2025-06-19 11:34:10
lizardfs-cgi-3.13.0-r17.apk
31.46KB
2025-06-19 11:34:10
lizardfs-cgiserv-3.13.0-r17.apk
7.37KB
2025-06-19 11:34:10
lizardfs-cgiserv-openrc-3.13.0-r17.apk
1.97KB
2025-06-19 11:34:10
lizardfs-chunkserver-3.13.0-r17.apk
295.23KB
2025-06-19 11:34:10
lizardfs-chunkserver-openrc-3.13.0-r17.apk
1.66KB
2025-06-19 11:34:10
lizardfs-client-3.13.0-r17.apk
0.99MB
2025-06-19 11:34:10
lizardfs-doc-3.13.0-r17.apk
11.44KB
2025-06-19 11:34:10
lizardfs-master-3.13.0-r17.apk
774.30KB
2025-06-19 11:34:10
lizardfs-master-openrc-3.13.0-r17.apk
1.65KB
2025-06-19 11:34:10
lizardfs-metalogger-3.13.0-r17.apk
121.58KB
2025-06-19 11:34:10
lizardfs-metalogger-openrc-3.13.0-r17.apk
1.65KB
2025-06-19 11:34:10
lkrg-0.9.6-r1.apk
104.73KB
2025-01-09 13:44:48
lkrg-doc-0.9.6-r1.apk
21.57KB
2025-01-09 13:44:48
llmnrd-0.7-r1.apk
17.51KB
2024-10-25 23:06:34
llmnrd-doc-0.7-r1.apk
3.04KB
2024-10-25 23:06:34
llmnrd-openrc-0.7-r1.apk
1.89KB
2024-10-25 23:06:34
lockrun-1.1.3-r1.apk
5.33KB
2024-10-25 23:06:34
log4cpp-1.1.4-r1.apk
68.97KB
2024-10-25 23:06:34
log4cpp-dev-1.1.4-r1.apk
38.90KB
2024-10-25 23:06:34
log4cxx-1.1.0-r3.apk
471.13KB
2025-02-17 14:07:16
log4cxx-dev-1.1.0-r3.apk
135.20KB
2025-02-17 14:07:16
logc-0.5.0-r1.apk
7.30KB
2025-06-13 17:20:19
logc-argp-0.5.0-r1.apk
14.92KB
2025-06-13 17:20:19
logc-config-0.5.0-r1.apk
4.82KB
2025-06-13 17:20:19
logc-czmq-0.1.0-r0.apk
3.87KB
2024-10-25 23:06:34
logc-dev-0.5.0-r1.apk
8.59KB
2025-06-13 17:20:19
logc-libevent-0.1.0-r0.apk
3.27KB
2024-10-25 23:06:34
logc-libs-0.1.0-r0.apk
1.43KB
2024-10-25 23:06:34
logc-libs-dev-0.1.0-r0.apk
5.43KB
2024-10-25 23:06:34
logtop-0.7-r1.apk
12.16KB
2025-08-09 02:40:01
logtop-doc-0.7-r1.apk
2.85KB
2025-08-09 02:40:01
logtop-libs-0.7-r1.apk
13.18KB
2025-08-09 02:40:01
lol-html-1.1.1-r1.apk
442.37KB
2024-10-25 23:06:34
lol-html-dev-1.1.1-r1.apk
6.51KB
2024-10-25 23:06:34
lolcat-1.4-r0.apk
9.70KB
2024-10-25 23:06:34
lomiri-action-api-1.2.1-r0.apk
73.20KB
2025-10-25 23:19:21
lomiri-action-api-dev-1.2.1-r0.apk
4.96KB
2025-10-25 23:19:21
lomiri-api-0.2.3-r0.apk
30.37KB
2025-10-25 23:19:21
lomiri-api-dev-0.2.3-r0.apk
32.13KB
2025-10-25 23:19:21
lomiri-app-launch-0.1.12-r4.apk
309.39KB
2025-10-21 12:41:20
lomiri-app-launch-dev-0.1.12-r4.apk
20.08KB
2025-10-21 12:41:20
lomiri-download-manager-0.3.0-r0.apk
540.91KB
2025-12-10 12:25:09
lomiri-download-manager-dev-0.3.0-r0.apk
16.90KB
2025-12-10 12:25:09
lomiri-download-manager-doc-0.3.0-r0.apk
2.89MB
2025-12-10 12:25:09
lomiri-download-manager-lang-0.3.0-r0.apk
30.12KB
2025-12-10 12:25:09
lomiri-history-service-0.6-r19.apk
326.64KB
2025-12-05 14:23:04
lomiri-history-service-dev-0.6-r19.apk
11.12KB
2025-12-05 14:23:04
lomiri-location-service-3.3.0-r5.apk
1.97MB
2025-11-17 14:54:54
lomiri-location-service-dev-3.3.0-r5.apk
29.85KB
2025-11-17 14:54:54
lomiri-location-service-doc-3.3.0-r5.apk
2.88KB
2025-11-17 14:54:54
lomiri-location-service-lang-3.3.0-r5.apk
25.79KB
2025-11-17 14:54:54
lomiri-location-service-systemd-3.3.0-r5.apk
2.14KB
2025-11-17 14:54:54
lomiri-notifications-1.3.1-r0.apk
87.99KB
2025-01-10 12:05:05
lomiri-schemas-0.1.9-r0.apk
10.41KB
2025-10-01 20:03:36
lomiri-settings-components-1.1.3-r0.apk
217.26KB
2025-10-25 23:19:21
lomiri-settings-components-lang-1.1.3-r0.apk
107.64KB
2025-10-25 23:19:21
lomiri-sounds-25.01-r0.apk
17.97MB
2025-08-19 23:07:41
lomiri-thumbnailer-3.1.0-r0.apk
203.95KB
2025-12-10 12:25:09
lomiri-thumbnailer-dev-3.1.0-r0.apk
5.10KB
2025-12-10 12:25:09
lomiri-thumbnailer-doc-3.1.0-r0.apk
123.62KB
2025-12-10 12:25:09
lomiri-trust-store-2.0.2-r13.apk
899.90KB
2025-10-21 12:41:20
lomiri-trust-store-dev-2.0.2-r13.apk
9.13KB
2025-10-21 12:41:20
lomiri-trust-store-lang-2.0.2-r13.apk
27.72KB
2025-10-21 12:41:20
lomiri-url-dispatcher-0.1.4-r0.apk
36.26KB
2025-05-15 00:14:06
lomiri-url-dispatcher-dev-0.1.4-r0.apk
3.31KB
2025-05-15 00:14:06
lomiri-url-dispatcher-lang-0.1.4-r0.apk
25.94KB
2025-05-15 00:14:06
lotide-0.15.0-r0.apk
3.61MB
2024-10-25 23:06:34
lotide-openrc-0.15.0-r0.apk
3.10KB
2024-10-25 23:06:34
lout-3.42.2-r0.apk
1.39MB
2024-10-25 23:06:34
lout-doc-3.42.2-r0.apk
452.53KB
2024-10-25 23:06:34
lowjs-1.6.2-r2.apk
1.33MB
2024-10-25 23:06:34
lowjs-doc-1.6.2-r2.apk
2.97KB
2024-10-25 23:06:34
lrcalc-2.1-r1.apk
10.76KB
2024-10-25 23:06:34
lrcalc-dev-2.1-r1.apk
11.33KB
2024-10-25 23:06:34
lrcalc-libs-2.1-r1.apk
22.97KB
2024-10-25 23:06:34
lsdvd-0.17-r0.apk
13.27KB
2024-10-25 23:06:34
lsdvd-doc-0.17-r0.apk
2.47KB
2024-10-25 23:06:34
lshell-0.9.18-r12.apk
36.22KB
2025-09-05 09:56:10
lshell-doc-0.9.18-r12.apk
25.11KB
2025-09-05 09:56:10
lshell-pyc-0.9.18-r12.apk
35.05KB
2025-09-05 09:56:10
lsix-1.8.2-r0.apk
6.49KB
2024-10-25 23:06:34
lspmux-0.3.0-r0.apk
0.99MB
2025-11-05 16:23:55
lspmux-doc-0.3.0-r0.apk
6.63KB
2025-11-05 16:23:55
lua-editorconfig-0.3.0-r0.apk
1.20KB
2024-10-25 23:06:34
lua-fn-0.1.0-r0.apk
3.38KB
2024-10-25 23:06:34
lua-inet-0.2.0-r1.apk
9.13KB
2024-10-25 23:06:34
lua-lanes-3.16.0-r1.apk
1.42KB
2024-10-25 23:06:34
lua-lcurses-9.0.0-r0.apk
1.19KB
2024-10-25 23:06:34
lua-libmodbus-0.6.1-r0.apk
1.18KB
2024-10-25 23:06:34
lua-libmodbus-doc-0.6.1-r0.apk
19.10KB
2024-10-25 23:06:34
lua-linenoise-0.9-r1.apk
1.17KB
2024-10-25 23:06:34
lua-luastatic-0.0.12-r1.apk
1.46KB
2024-10-25 23:06:34
lua-lupa-1.0-r0.apk
19.57KB
2024-10-25 23:06:34
lua-lut-1.2.1-r0.apk
88.67KB
2024-10-25 23:06:34
lua-psl-0.3-r0.apk
1.13KB
2024-10-25 23:06:34
lua-resty-redis-0.29-r0.apk
5.33KB
2024-10-25 23:06:34
lua-resty-upload-0.11-r0.apk
3.61KB
2024-10-25 23:06:34
lua-xml-1.1.3-r2.apk
1.43KB
2024-10-25 23:06:34
lua5.1-lanes-3.16.0-r1.apk
59.06KB
2024-10-25 23:06:34
lua5.1-lcurses-9.0.0-r0.apk
22.32KB
2024-10-25 23:06:34
lua5.1-libguestfs-1.56.1-r0.apk
77.12KB
2025-07-24 04:27:28
lua5.1-libmodbus-0.6.1-r0.apk
8.90KB
2024-10-25 23:06:34
lua5.1-linenoise-0.9-r1.apk
15.85KB
2024-10-25 23:06:34
lua5.1-luacov-0.15.0-r0.apk
23.28KB
2024-10-25 23:06:34
lua5.1-luacov-html-1.0.0-r1.apk
412.72KB
2024-10-25 23:06:34
lua5.1-luastatic-0.0.12-r1.apk
68.09KB
2024-10-25 23:06:34
lua5.1-psl-0.3-r0.apk
5.39KB
2024-10-25 23:06:34
lua5.1-ubus-2025.10.17-r0.apk
9.10KB
2025-10-25 15:57:56
lua5.1-xml-1.1.3-r2.apk
22.09KB
2024-10-25 23:06:34
lua5.2-editorconfig-0.3.0-r0.apk
4.13KB
2024-10-25 23:06:34
lua5.2-lanes-3.16.0-r1.apk
58.89KB
2024-10-25 23:06:34
lua5.2-libmodbus-0.6.1-r0.apk
8.89KB
2024-10-25 23:06:34
lua5.2-linenoise-0.9-r1.apk
15.89KB
2024-10-25 23:06:34
lua5.2-luacov-0.15.0-r0.apk
23.28KB
2024-10-25 23:06:34
lua5.2-luacov-html-1.0.0-r1.apk
412.76KB
2024-10-25 23:06:34
lua5.2-luastatic-0.0.12-r1.apk
8.79KB
2024-10-25 23:06:34
lua5.2-psl-0.3-r0.apk
5.30KB
2024-10-25 23:06:34
lua5.2-ubus-2025.10.17-r0.apk
9.11KB
2025-10-25 15:57:56
lua5.2-xml-1.1.3-r2.apk
22.00KB
2024-10-25 23:06:34
lua5.3-editorconfig-0.3.0-r0.apk
4.18KB
2024-10-25 23:06:34
lua5.3-lanes-3.16.0-r1.apk
60.21KB
2024-10-25 23:06:34
lua5.3-linenoise-0.9-r1.apk
15.89KB
2024-10-25 23:06:34
lua5.3-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 23:06:34
lua5.3-luacov-html-1.0.0-r1.apk
412.77KB
2024-10-25 23:06:34
lua5.3-luastatic-0.0.12-r1.apk
8.83KB
2024-10-25 23:06:34
lua5.3-psl-0.3-r0.apk
5.34KB
2024-10-25 23:06:34
lua5.4-editorconfig-0.3.0-r0.apk
4.18KB
2024-10-25 23:06:34
lua5.4-lanes-3.16.0-r1.apk
59.71KB
2024-10-25 23:06:34
lua5.4-linenoise-0.9-r1.apk
15.88KB
2024-10-25 23:06:34
lua5.4-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 23:06:34
lua5.4-luastatic-0.0.12-r1.apk
8.91KB
2024-10-25 23:06:34
luacov-0.15.0-r0.apk
1.45KB
2024-10-25 23:06:34
luacov-html-1.0.0-r1.apk
1.21KB
2024-10-25 23:06:34
luapak-0.1.0_beta5-r0.apk
35.30KB
2024-10-25 23:06:34
luksmeta-9-r0.apk
12.51KB
2024-10-25 23:06:34
luksmeta-dev-9-r0.apk
3.10KB
2024-10-25 23:06:34
luksmeta-doc-9-r0.apk
5.48KB
2024-10-25 23:06:34
lumina-desktop-1.6.2-r0.apk
1.24KB
2024-10-25 23:06:34
lumina-desktop-archiver-1.6.2-r0.apk
156.86KB
2024-10-25 23:06:34
lumina-desktop-core-1.6.2-r0.apk
8.85MB
2024-10-25 23:06:35
lumina-desktop-coreutils-1.6.2-r0.apk
786.07KB
2024-10-25 23:06:35
lumina-desktop-doc-1.6.2-r0.apk
11.50KB
2024-10-25 23:06:35
lumina-desktop-fileinfo-1.6.2-r0.apk
150.35KB
2024-10-25 23:06:35
lumina-desktop-fm-1.6.2-r0.apk
373.05KB
2024-10-25 23:06:35
lumina-desktop-mediaplayer-1.6.2-r0.apk
190.09KB
2024-10-25 23:06:35
lumina-desktop-photo-1.6.2-r0.apk
117.23KB
2024-10-25 23:06:35
lumina-desktop-screenshot-1.6.2-r0.apk
155.94KB
2024-10-25 23:06:35
lumina-desktop-sudo-1.6.2-r0.apk
89.55KB
2024-10-25 23:06:35
lumina-desktop-textedit-1.6.2-r0.apk
181.40KB
2024-10-25 23:06:35
lumins-0.4.0-r2.apk
665.37KB
2024-10-25 23:06:35
lutgen-1.0.1-r0.apk
1.89MB
2025-11-19 20:45:25
lutgen-bash-completion-1.0.1-r0.apk
1.74KB
2025-11-19 20:45:25
lutgen-doc-1.0.1-r0.apk
4.46KB
2025-11-19 20:45:25
lutgen-fish-completion-1.0.1-r0.apk
1.78KB
2025-11-19 20:45:25
lutgen-zsh-completion-1.0.1-r0.apk
1.71KB
2025-11-19 20:45:25
lutris-0.5.19-r1.apk
819.20KB
2025-09-16 21:08:59
lutris-doc-0.5.19-r1.apk
2.35KB
2025-09-16 21:08:59
lutris-lang-0.5.19-r1.apk
810.48KB
2025-09-16 21:08:59
lutris-pyc-0.5.19-r1.apk
1.13MB
2025-09-16 21:08:59
lv_font_conv-1.5.3-r0.apk
1.13MB
2025-08-19 22:54:03
lv_font_conv-doc-1.5.3-r0.apk
4.98KB
2025-08-19 22:54:03
lxappearance-0.6.3-r3.apk
28.67KB
2024-10-25 23:06:35
lxappearance-dev-0.6.3-r3.apk
3.24KB
2024-10-25 23:06:35
lxappearance-doc-0.6.3-r3.apk
2.61KB
2024-10-25 23:06:35
lxappearance-lang-0.6.3-r3.apk
80.50KB
2024-10-25 23:06:35
lynis-3.1.4-r0.apk
275.68KB
2025-07-29 11:11:34
lynis-bash-completion-3.1.4-r0.apk
2.97KB
2025-07-29 11:11:34
lynis-doc-3.1.4-r0.apk
50.20KB
2025-07-29 11:11:34
lyrics-in-terminal-1.7.0-r0.apk
38.06KB
2025-01-03 12:09:40
m2r2-0.3.3-r3.apk
12.50KB
2024-10-25 23:06:36
m2r2-pyc-0.3.3-r3.apk
15.60KB
2024-10-25 23:06:36
macchina-6.4.0-r0.apk
0.99MB
2025-07-24 04:27:28
macchina-doc-6.4.0-r0.apk
5.67KB
2025-07-24 04:27:28
mage-1.13.0-r23.apk
1.54MB
2025-05-15 00:14:09
magpie-0.9.4-r0.apk
1.71MB
2025-10-16 20:07:39
magpie-dev-0.9.4-r0.apk
246.29KB
2025-10-16 20:07:39
magpie-lang-0.9.4-r0.apk
849.46KB
2025-10-16 20:07:39
maildir-rank-addr-1.4.1-r1.apk
3.33MB
2025-12-04 15:54:21
maildir-rank-addr-doc-1.4.1-r1.apk
2.28KB
2025-12-04 15:54:21
maildir2rss-0.0.7-r10.apk
3.60MB
2025-12-04 15:54:21
mailsec-check-0_git20210729-r31.apk
2.63MB
2025-12-04 15:54:21
makeclapman-2.4.4-r10.apk
1.33MB
2025-12-04 15:54:21
makeclapman-doc-2.4.4-r10.apk
4.15KB
2025-12-04 15:54:21
makedumpfile-1.7.8-r0.apk
172.50KB
2025-11-05 16:24:57
makedumpfile-doc-1.7.8-r0.apk
23.67KB
2025-11-05 16:24:57
makedumpfile-openrc-1.7.8-r0.apk
3.14KB
2025-11-05 16:24:57
makeself-2.5.0-r0.apk
13.00KB
2024-10-25 23:06:37
malcontent-0.13.1-r0.apk
155.15KB
2025-09-03 13:35:08
malcontent-dev-0.13.1-r0.apk
23.96KB
2025-09-03 13:35:08
malcontent-doc-0.13.1-r0.apk
44.73KB
2025-09-03 13:35:08
mame-0.251-r0.apk
88.13MB
2024-10-25 23:06:38
mame-arcade-0.251-r0.apk
60.82MB
2024-10-25 23:06:39
mame-common-0.251-r0.apk
2.65KB
2024-10-25 23:06:39
mame-data-0.251-r0.apk
19.12MB
2024-10-25 23:06:40
mame-doc-0.251-r0.apk
24.06KB
2024-10-25 23:06:40
mame-lang-0.251-r0.apk
1.43MB
2024-10-25 23:06:40
mame-mess-0.251-r0.apk
47.33MB
2024-10-25 23:06:40
mame-plugins-0.251-r0.apk
166.33KB
2024-10-25 23:06:40
mame-tools-0.251-r0.apk
2.39MB
2024-10-25 23:06:40
mangal-4.0.6-r23.apk
10.16MB
2025-12-04 15:54:22
mangal-bash-completion-4.0.6-r23.apk
4.99KB
2025-12-04 15:54:22
mangal-fish-completion-4.0.6-r23.apk
3.92KB
2025-12-04 15:54:22
mangal-zsh-completion-4.0.6-r23.apk
4.00KB
2025-12-04 15:54:22
mangr0ve-0.1.2-r0.apk
2.78KB
2024-10-25 23:06:41
mangr0ve-doc-0.1.2-r0.apk
14.40KB
2024-10-25 23:06:41
manifest-tool-2.2.0-r6.apk
3.90MB
2025-12-04 15:54:22
mapnik-4.1.4-r0.apk
11.68MB
2025-12-04 15:54:22
mapnik-dev-4.1.4-r0.apk
486.83KB
2025-12-04 15:54:22
mapnik-doc-4.1.4-r0.apk
142.95KB
2025-12-04 15:54:22
mapserver-8.4.1-r1.apk
1.32MB
2025-12-04 15:54:22
mapserver-dev-8.4.1-r1.apk
539.91KB
2025-12-04 15:54:22
marxan-4.0.7-r1.apk
519.96KB
2024-10-25 23:06:41
masky-0.2.0-r2.apk
276.87KB
2025-05-29 14:57:04
masky-pyc-0.2.0-r2.apk
63.66KB
2025-05-29 14:57:04
mat2-0.13.5-r0.apk
35.41KB
2025-09-16 22:00:01
mat2-doc-0.13.5-r0.apk
7.69KB
2025-09-16 22:00:01
mat2-pyc-0.13.5-r0.apk
54.48KB
2025-09-16 22:00:01
materia-20210322-r3.apk
1.67KB
2025-07-15 11:22:15
materia-chromium-20210322-r3.apk
5.68KB
2025-07-15 11:22:15
materia-compact-20210322-r3.apk
1.69KB
2025-07-15 11:22:15
materia-compact-chromium-20210322-r3.apk
5.70KB
2025-07-15 11:22:15
materia-compact-gnome-shell-20210322-r3.apk
29.31KB
2025-07-15 11:22:15
materia-compact-gtk2-20210322-r3.apk
34.59KB
2025-07-15 11:22:15
materia-compact-gtk3-20210322-r3.apk
62.91KB
2025-07-15 11:22:15
materia-compact-gtk4-20210322-r3.apk
42.57KB
2025-07-15 11:22:15
materia-dark-20210322-r3.apk
1.69KB
2025-07-15 11:22:15
materia-dark-chromium-20210322-r3.apk
5.70KB
2025-07-15 11:22:15
materia-dark-compact-20210322-r3.apk
1.71KB
2025-07-15 11:22:15
materia-dark-compact-chromium-20210322-r3.apk
5.72KB
2025-07-15 11:22:15
materia-dark-compact-gnome-shell-20210322-r3.apk
29.30KB
2025-07-15 11:22:15
materia-dark-compact-gtk2-20210322-r3.apk
34.51KB
2025-07-15 11:22:15
materia-dark-compact-gtk3-20210322-r3.apk
39.60KB
2025-07-15 11:22:15
materia-dark-compact-gtk4-20210322-r3.apk
29.41KB
2025-07-15 11:22:15
materia-dark-gnome-shell-20210322-r3.apk
29.20KB
2025-07-15 11:22:15
materia-dark-gtk2-20210322-r3.apk
34.49KB
2025-07-15 11:22:15
materia-dark-gtk3-20210322-r3.apk
39.61KB
2025-07-15 11:22:15
materia-dark-gtk4-20210322-r3.apk
29.41KB
2025-07-15 11:22:15
materia-gnome-shell-20210322-r3.apk
29.20KB
2025-07-15 11:22:15
materia-gtk-theme-20210322-r3.apk
2.86KB
2025-07-15 11:22:15
materia-gtk2-20210322-r3.apk
34.56KB
2025-07-15 11:22:15
materia-gtk3-20210322-r3.apk
63.03KB
2025-07-15 11:22:15
materia-gtk4-20210322-r3.apk
42.67KB
2025-07-15 11:22:15
mautrix-linkedin-0.2511.0-r1.apk
6.53MB
2025-12-04 15:54:22
mautrix-linkedin-doc-0.2511.0-r1.apk
13.21KB
2025-12-04 15:54:22
mautrix-linkedin-openrc-0.2511.0-r1.apk
1.97KB
2025-12-04 15:54:22
mautrix-zulip-0.2511.0-r1.apk
6.66MB
2025-12-04 15:54:22
mautrix-zulip-doc-0.2511.0-r1.apk
13.21KB
2025-12-04 15:54:22
mautrix-zulip-openrc-0.2511.0-r1.apk
1.96KB
2025-12-04 15:54:22
maxima-5.48.1-r9.apk
24.95MB
2025-08-22 13:19:04
maxima-bash-completion-5.48.1-r9.apk
2.33KB
2025-08-22 13:19:04
maxima-doc-5.48.1-r9.apk
845.52KB
2025-08-22 13:19:04
maxima-doc-extra-5.48.1-r9.apk
9.65MB
2025-08-22 13:19:04
maxima-emacs-5.48.1-r9.apk
110.75KB
2025-08-22 13:19:04
mbrola-3.3-r0.apk
19.61KB
2024-10-25 23:06:42
mcjoin-2.11-r0.apk
22.17KB
2024-10-25 23:06:42
mcjoin-doc-2.11-r0.apk
53.73KB
2024-10-25 23:06:42
md5ha1-0_git20171202-r1.apk
9.11KB
2024-10-25 23:06:42
mdbook-admonish-1.20.0-r0.apk
1.04MB
2025-06-16 23:31:26
mdbook-alerts-0.8.0-r0.apk
739.05KB
2025-09-26 00:50:50
mdbook-katex-0.9.4-r0.apk
1.17MB
2025-05-17 13:45:06
mdbook-linkcheck-0.7.7-r0.apk
2.70MB
2025-05-16 22:17:22
mdbook-plantuml-0.8.0-r0.apk
934.10KB
2024-10-25 23:06:42
mdnsd-0.12-r1.apk
22.53KB
2024-10-25 23:06:42
mdnsd-doc-0.12-r1.apk
14.36KB
2024-10-25 23:06:42
mdnsd-libs-0.12-r1.apk
16.90KB
2024-10-25 23:06:42
mdnsd-openrc-0.12-r1.apk
2.13KB
2024-10-25 23:06:42
mdnsd-static-0.12-r1.apk
16.92KB
2024-10-25 23:06:42
mdp-1.0.18-r0.apk
15.73KB
2025-07-10 05:28:21
mdp-doc-1.0.18-r0.apk
3.87KB
2025-07-10 05:28:21
mediascanner2-0.118-r4.apk
245.24KB
2025-11-17 14:54:54
mediascanner2-systemd-0.118-r4.apk
1.79KB
2025-11-17 14:54:54
mediastreamer2-5.3.112-r1.apk
338.17KB
2025-10-19 12:50:26
mediastreamer2-dev-5.3.112-r1.apk
109.67KB
2025-10-19 12:50:26
mediastreamer2-doc-5.3.112-r1.apk
107.71KB
2025-10-19 12:50:26
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
10.96KB
2025-03-07 00:31:47
mediastreamer2-plugin-x264-20200722-r6.apk
7.50KB
2024-10-25 23:06:42
meep-1.31.0-r1.apk
612.26KB
2025-08-19 16:06:47
meep-dev-1.31.0-r1.apk
505.40KB
2025-08-19 16:06:47
megatools-1.11.5.20250706-r0.apk
64.59KB
2025-07-30 18:14:58
megatools-bash-completion-1.11.5.20250706-r0.apk
4.10KB
2025-07-30 18:14:58
megatools-doc-1.11.5.20250706-r0.apk
52.15KB
2025-07-30 18:14:58
megazeux-2.93d-r0.apk
1.28MB
2025-06-10 16:44:50
megazeux-doc-2.93d-r0.apk
465.29KB
2025-06-10 16:44:50
memdump-1.01-r1.apk
5.43KB
2024-10-25 23:06:42
memdump-doc-1.01-r1.apk
3.12KB
2024-10-25 23:06:42
menumaker-0.99.14-r1.apk
111.02KB
2024-10-25 23:06:42
mergerfs-2.41.1-r0.apk
412.11KB
2025-12-13 17:12:25
mergerfs-doc-2.41.1-r0.apk
3.31KB
2025-12-13 17:12:25
meson-tools-0.1-r2.apk
8.17KB
2024-12-09 18:38:23
meson-tools-doc-0.1-r2.apk
8.29KB
2024-12-09 18:38:23
mesonlsp-4.3.7-r4.apk
2.16MB
2025-06-19 08:36:13
metadata-cleaner-2.5.6-r0.apk
49.12KB
2025-01-31 16:31:11
metadata-cleaner-doc-2.5.6-r0.apk
1.90MB
2025-01-31 16:31:11
metadata-cleaner-lang-2.5.6-r0.apk
65.91KB
2025-01-31 16:31:11
metalang99-1.13.3-r0.apk
54.30KB
2024-10-25 23:06:42
milkytracker-1.04.00-r2.apk
972.14KB
2024-10-25 23:06:43
milkytracker-doc-1.04.00-r2.apk
50.40KB
2024-10-25 23:06:43
mimalloc1-1.9.4-r0.apk
67.09KB
2025-11-05 16:28:38
mimalloc1-debug-1.9.4-r0.apk
203.37KB
2025-11-05 16:28:38
mimalloc1-dev-1.9.4-r0.apk
464.92KB
2025-11-05 16:28:38
mimalloc1-insecure-1.9.4-r0.apk
63.52KB
2025-11-05 16:28:38
mimedefang-3.6-r1.apk
158.28KB
2025-10-08 00:03:34
mimedefang-doc-3.6-r1.apk
81.05KB
2025-10-08 00:03:34
mimeo-2023-r2.apk
28.15KB
2024-10-25 23:06:43
mimeo-pyc-2023-r2.apk
41.55KB
2024-10-25 23:06:43
minidyndns-1.3.0-r3.apk
11.64KB
2024-10-25 23:06:43
minidyndns-doc-1.3.0-r3.apk
5.11KB
2024-10-25 23:06:43
minidyndns-openrc-1.3.0-r3.apk
1.85KB
2024-10-25 23:06:43
minigalaxy-1.4.0-r0.apk
197.27KB
2025-07-15 11:22:15
minigalaxy-pyc-1.4.0-r0.apk
134.57KB
2025-07-15 11:22:15
minimodem-0.24-r1.apk
19.98KB
2024-10-25 23:06:43
minimodem-doc-0.24-r1.apk
5.20KB
2024-10-25 23:06:43
minisatip-1.3.4-r0.apk
329.18KB
2024-10-25 23:06:43
minisatip-openrc-1.3.4-r0.apk
1.88KB
2024-10-25 23:06:43
mint-themes-2.3.2-r0.apk
1.84MB
2025-11-12 23:20:44
mint-themes-doc-2.3.2-r0.apk
14.28KB
2025-11-12 23:20:44
mint-x-icons-1.7.5-r0.apk
23.21MB
2025-12-01 08:04:19
mint-x-icons-doc-1.7.5-r0.apk
7.87KB
2025-12-01 08:04:19
mint-x-theme-2.3.2-r0.apk
2.77KB
2025-11-12 23:20:44
mint-x-theme-gtk2-2.3.2-r0.apk
452.69KB
2025-11-12 23:20:44
mint-x-theme-gtk3-2.3.2-r0.apk
597.34KB
2025-11-12 23:20:45
mint-x-theme-gtk4-2.3.2-r0.apk
503.08KB
2025-11-12 23:20:45
mint-x-theme-metacity-2.3.2-r0.apk
6.03KB
2025-11-12 23:20:45
mint-x-theme-xfwm4-2.3.2-r0.apk
31.30KB
2025-11-12 23:20:45
mint-y-icons-1.8.9-r0.apk
72.98MB
2025-12-01 08:04:21
mint-y-icons-doc-1.8.9-r0.apk
11.93KB
2025-12-01 08:04:21
mint-y-theme-2.3.2-r0.apk
3.54KB
2025-11-12 23:20:45
mint-y-theme-gtk2-2.3.2-r0.apk
594.81KB
2025-11-12 23:20:45
mint-y-theme-gtk3-2.3.2-r0.apk
1.98MB
2025-11-12 23:20:45
mint-y-theme-gtk4-2.3.2-r0.apk
1.66MB
2025-11-12 23:20:45
mint-y-theme-metacity-2.3.2-r0.apk
54.90KB
2025-11-12 23:20:45
mint-y-theme-xfwm4-2.3.2-r0.apk
203.41KB
2025-11-12 23:20:45
mir-2.23.0-r0.apk
2.12MB
2025-10-21 12:41:20
mir-demos-2.23.0-r0.apk
139.37KB
2025-10-21 12:41:20
mir-dev-2.23.0-r0.apk
7.95MB
2025-10-21 12:41:20
mir-test-tools-2.23.0-r0.apk
1.14MB
2025-10-21 12:41:20
miracle-wm-0.8.2-r0.apk
446.99KB
2025-11-18 00:42:04
miracle-wm-dev-0.8.2-r0.apk
15.50KB
2025-11-18 00:42:04
mirrorhall-0.1.1-r2.apk
26.30KB
2025-08-09 02:40:01
mjpg-streamer-0_git20210220-r2.apk
183.09KB
2025-05-15 00:14:10
mjpg-streamer-input-raspicam-0_git20210220-r2.apk
15.32KB
2025-05-15 00:14:10
mkcert-1.4.4-r24.apk
1.83MB
2025-12-04 15:54:22
mkdocs-bootstrap-1.1.1-r2.apk
28.52KB
2024-10-25 23:06:45
mkdocs-bootstrap-pyc-1.1.1-r2.apk
1.81KB
2024-10-25 23:06:45
mkdocs-bootstrap386-0.0.2-r5.apk
791.25KB
2024-10-25 23:06:45
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-bootstrap4-0.1.5-r5.apk
260.05KB
2024-10-25 23:06:45
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-bootswatch-1.1-r5.apk
538.40KB
2024-10-25 23:06:45
mkdocs-bootswatch-pyc-1.1-r5.apk
4.72KB
2024-10-25 23:06:45
mkdocs-cinder-1.2.0-r5.apk
248.63KB
2024-10-25 23:06:45
mkdocs-cinder-pyc-1.2.0-r5.apk
1.79KB
2024-10-25 23:06:45
mkdocs-cluster-0.0.9-r5.apk
651.14KB
2024-10-25 23:06:45
mkdocs-cluster-pyc-0.0.9-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-gitbook-0.0.1-r5.apk
644.13KB
2024-10-25 23:06:45
mkdocs-gitbook-pyc-0.0.1-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-ivory-0.4.6-r5.apk
10.93KB
2024-10-25 23:06:45
mkdocs-ivory-pyc-0.4.6-r5.apk
1.80KB
2024-10-25 23:06:45
mkdocs-rtd-dropdown-1.0.2-r5.apk
248.38KB
2024-10-25 23:06:45
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-windmill-1.0.5-r4.apk
944.06KB
2024-10-25 23:06:45
mkdocs-windmill-pyc-1.0.5-r4.apk
1.81KB
2024-10-25 23:06:45
mkdotenv-0.4.9-r2.apk
924.78KB
2025-12-04 15:54:22
mkg3a-0.5.0-r1.apk
16.01KB
2024-10-25 23:06:45
mkg3a-doc-0.5.0-r1.apk
3.06KB
2024-10-25 23:06:45
mkosi-25.3_git20251111-r0.apk
291.50KB
2025-11-12 22:25:22
mkosi-doc-25.3_git20251111-r0.apk
74.31KB
2025-11-12 22:25:22
mkosi-pyc-25.3_git20251111-r0.apk
433.43KB
2025-11-12 22:25:22
mktorrent-borg-0.9.9-r1.apk
9.99KB
2024-10-25 23:06:45
mktorrent-borg-doc-0.9.9-r1.apk
2.49KB
2024-10-25 23:06:45
mlxl-0.1-r0.apk
5.54KB
2024-10-25 23:06:45
mm-1.4.2-r1.apk
7.10KB
2024-10-25 23:06:45
mm-common-1.0.7-r0.apk
414.16KB
2025-06-27 19:39:45
mm-common-doc-1.0.7-r0.apk
33.49KB
2025-06-27 19:39:45
mm-dev-1.4.2-r1.apk
11.83KB
2024-10-25 23:06:45
mm-doc-1.4.2-r1.apk
14.31KB
2024-10-25 23:06:45
mmar-0.2.5-r1.apk
2.56MB
2025-05-15 00:14:10
mmix-0_git20221025-r0.apk
177.42KB
2024-10-25 23:06:45
mml-1.0.0-r1.apk
879.65KB
2025-09-30 03:41:13
mml-bash-completion-1.0.0-r1.apk
2.26KB
2025-09-30 03:41:13
mml-doc-1.0.0-r1.apk
3.79KB
2025-09-30 03:41:13
mml-fish-completion-1.0.0-r1.apk
2.23KB
2025-09-30 03:41:13
mml-zsh-completion-1.0.0-r1.apk
2.79KB
2025-09-30 03:41:13
mnamer-2.5.5-r1.apk
31.71KB
2024-10-25 23:06:45
mnamer-pyc-2.5.5-r1.apk
60.48KB
2024-10-25 23:06:45
mobroute-0.10.0-r5.apk
4.48MB
2025-12-04 15:54:23
mobroute-doc-0.10.0-r5.apk
1.34MB
2025-12-04 15:54:23
mod_dnssd-0.6-r1.apk
8.26KB
2025-08-09 02:40:01
modem-manager-gui-0.0.20-r0.apk
322.77KB
2024-10-25 23:06:45
modem-manager-gui-doc-0.0.20-r0.apk
3.94MB
2024-10-25 23:06:45
modem-manager-gui-lang-0.0.20-r0.apk
129.27KB
2024-10-25 23:06:45
mods-1.8.1-r3.apk
9.99MB
2025-12-04 15:54:23
mods-doc-1.8.1-r3.apk
2.26KB
2025-12-04 15:54:23
moe-1.14-r0.apk
102.28KB
2024-10-25 23:06:45
moe-doc-1.14-r0.apk
18.98KB
2024-10-25 23:06:45
moka-icon-theme-5.4.0-r2.apk
113.74MB
2024-10-25 23:06:47
monetdb-11.33.11-r4.apk
2.29MB
2024-10-25 23:06:47
monetdb-dev-11.33.11-r4.apk
77.10KB
2024-10-25 23:06:47
monetdb-doc-11.33.11-r4.apk
320.93KB
2024-10-25 23:06:47
mongo-cxx-driver-3.8.0-r0.apk
167.03KB
2024-10-25 23:06:47
mongo-cxx-driver-dev-3.8.0-r0.apk
88.86KB
2024-10-25 23:06:47
monopd-0.10.4-r0.apk
89.53KB
2025-01-11 13:11:30
monopd-openrc-0.10.4-r0.apk
1.68KB
2025-01-11 13:11:30
moon-buggy-1.0.51-r1.apk
33.71KB
2024-10-25 23:06:47
moon-buggy-doc-1.0.51-r1.apk
7.13KB
2024-10-25 23:06:47
moosefs-4.56.6-r2.apk
292.04KB
2025-06-19 11:34:10
moosefs-cgi-4.56.6-r2.apk
121.18KB
2025-06-19 11:34:10
moosefs-cgiserv-4.56.6-r2.apk
7.82KB
2025-06-19 11:34:10
moosefs-cgiserv-openrc-4.56.6-r2.apk
1.99KB
2025-06-19 11:34:10
moosefs-chunkserver-4.56.6-r2.apk
228.72KB
2025-06-19 11:34:10
moosefs-chunkserver-openrc-4.56.6-r2.apk
1.68KB
2025-06-19 11:34:10
moosefs-client-4.56.6-r2.apk
687.88KB
2025-06-19 11:34:10
moosefs-doc-4.56.6-r2.apk
95.17KB
2025-06-19 11:34:10
moosefs-master-4.56.6-r2.apk
377.60KB
2025-06-19 11:34:10
moosefs-master-openrc-4.56.6-r2.apk
1.67KB
2025-06-19 11:34:10
moosefs-metalogger-4.56.6-r2.apk
40.99KB
2025-06-19 11:34:10
moosefs-metalogger-openrc-4.56.6-r2.apk
1.67KB
2025-06-19 11:34:10
moosefs-static-4.56.6-r2.apk
717.47KB
2025-06-19 11:34:10
motion-4.7.1-r0.apk
143.91KB
2025-09-28 00:09:22
motion-doc-4.7.1-r0.apk
139.65KB
2025-09-28 00:09:22
motion-lang-4.7.1-r0.apk
470.79KB
2025-09-28 00:09:22
motion-openrc-4.7.1-r0.apk
2.22KB
2025-09-28 00:09:22
mp3val-0.1.8-r1.apk
13.20KB
2024-10-25 23:06:47
mpdcron-0.3-r1.apk
96.20KB
2024-10-25 23:06:47
mpdcron-dev-0.3-r1.apk
55.90KB
2024-10-25 23:06:47
mpdcron-doc-0.3-r1.apk
13.37KB
2024-10-25 23:06:47
mpdcron-zsh-completion-0.3-r1.apk
2.88KB
2024-10-25 23:06:47
mpdris2-0.9.1-r3.apk
15.19KB
2024-10-25 23:06:47
mpdris2-doc-0.9.1-r3.apk
14.87KB
2024-10-25 23:06:47
mpdris2-lang-0.9.1-r3.apk
2.33KB
2024-10-25 23:06:47
mpv-sponsorblock-2.2.0-r0.apk
1.37MB
2025-06-16 18:16:26
mqtt2prometheus-0.1.7-r21.apk
4.41MB
2025-12-04 15:54:23
mrsh-0_git20210518-r1.apk
5.19KB
2024-10-25 23:06:47
mrsh-dbg-0_git20210518-r1.apk
203.04KB
2024-10-25 23:06:47
mrsh-dev-0_git20210518-r1.apk
9.99KB
2024-10-25 23:06:47
mrsh-libs-0_git20210518-r1.apk
53.89KB
2024-10-25 23:06:47
msgpuck-2.0-r1.apk
1.21KB
2024-10-25 23:06:47
msgpuck-dev-2.0-r1.apk
22.40KB
2024-10-25 23:06:47
msgpuck-doc-2.0-r1.apk
7.33KB
2024-10-25 23:06:47
msh-2.5.0-r17.apk
2.93MB
2025-12-04 15:54:23
msh-openrc-2.5.0-r17.apk
1.99KB
2025-12-04 15:54:23
mspdebug-0.25-r1.apk
168.27KB
2024-10-25 23:06:47
mspdebug-doc-0.25-r1.apk
14.21KB
2024-10-25 23:06:47
mtail-3.2.26-r1.apk
13.29MB
2025-12-04 15:54:23
mtail-openrc-3.2.26-r1.apk
1.98KB
2025-12-04 15:54:23
muse-4.2.1-r2.apk
5.85MB
2025-05-15 00:14:11
muse-doc-4.2.1-r2.apk
4.11MB
2025-05-15 00:14:11
musikcube-3.0.5-r0.apk
2.03MB
2025-09-28 00:09:22
musikcube-dev-3.0.5-r0.apk
19.10KB
2025-09-28 00:09:22
musikcube-plugin-all-3.0.5-r0.apk
1.34KB
2025-09-28 00:09:22
musikcube-plugin-httpdatastream-3.0.5-r0.apk
69.22KB
2025-09-28 00:09:22
musikcube-plugin-mpris-3.0.5-r0.apk
19.03KB
2025-09-28 00:09:22
musikcube-plugin-openmpt-3.0.5-r0.apk
26.17KB
2025-09-28 00:09:22
musikcube-plugin-server-3.0.5-r0.apk
357.07KB
2025-09-28 00:09:22
musikcube-plugin-stockencoders-3.0.5-r0.apk
17.96KB
2025-09-28 00:09:22
musikcube-plugin-supereqdsp-3.0.5-r0.apk
23.03KB
2025-09-28 00:09:22
musikcube-plugin-taglibreader-3.0.5-r0.apk
30.98KB
2025-09-28 00:09:22
mxclient-0_git20211002-r1.apk
65.74KB
2024-10-25 23:06:47
n30f-2.0-r3.apk
6.67KB
2024-10-25 23:06:48
nano-hare-0_git20231021-r0.apk
2.16KB
2024-10-25 23:06:48
nautilus-python-4.0.1-r0.apk
9.44KB
2025-10-13 00:54:21
nautilus-python-dev-4.0.1-r0.apk
1.70KB
2025-10-13 00:54:21
nautilus-python-doc-4.0.1-r0.apk
4.24KB
2025-10-13 00:54:21
nauty-2.9.1-r0.apk
5.16MB
2025-09-08 19:41:47
nauty-dev-2.9.1-r0.apk
2.75MB
2025-09-08 19:41:47
nauty-libs-2.9.1-r0.apk
1.97MB
2025-09-08 19:41:47
nb-7.19.1-r0.apk
152.14KB
2025-05-25 01:10:09
nb-bash-completion-7.19.1-r0.apk
2.99KB
2025-05-25 01:10:09
nb-doc-7.19.1-r0.apk
77.47KB
2025-05-25 01:10:09
nb-fish-completion-7.19.1-r0.apk
2.80KB
2025-05-25 01:10:09
nb-full-7.19.1-r0.apk
1.30KB
2025-05-25 01:10:09
nb-zsh-completion-7.19.1-r0.apk
2.95KB
2025-05-25 01:10:09
nbsdgames-5-r0.apk
98.53KB
2024-10-25 23:06:48
nbsdgames-doc-5-r0.apk
9.39KB
2024-10-25 23:06:48
neard-0.19-r0.apk
134.67KB
2024-10-25 23:06:48
neard-dev-0.19-r0.apk
11.07KB
2024-10-25 23:06:48
neard-doc-0.19-r0.apk
5.58KB
2024-10-25 23:06:48
neard-openrc-0.19-r0.apk
1.69KB
2024-10-25 23:06:48
neko-2.3.0-r0.apk
432.49KB
2024-11-21 00:26:12
neko-dev-2.3.0-r0.apk
10.38KB
2024-11-21 00:26:12
neko-doc-2.3.0-r0.apk
19.92KB
2024-11-21 00:26:12
nemo-gtkhash-plugin-1.5-r0.apk
21.99KB
2024-10-25 23:06:48
neocmakelsp-0.9.0-r0.apk
1.67MB
2025-11-22 15:31:17
neocmakelsp-bash-completion-0.9.0-r0.apk
1.98KB
2025-11-22 15:31:17
neocmakelsp-doc-0.9.0-r0.apk
5.83KB
2025-11-22 15:31:17
neocmakelsp-fish-completion-0.9.0-r0.apk
1.62KB
2025-11-22 15:31:17
neocmakelsp-zsh-completion-0.9.0-r0.apk
1.82KB
2025-11-22 15:31:17
neofetch-7.1.0-r2.apk
85.65KB
2024-11-06 23:46:54
neofetch-doc-7.1.0-r2.apk
6.18KB
2024-11-06 23:46:54
net-predictable-1.5.1-r5.apk
937.31KB
2025-12-04 15:54:23
net-predictable-doc-1.5.1-r5.apk
2.24KB
2025-12-04 15:54:23
netdiscover-0.21-r0.apk
546.75KB
2025-08-15 15:45:49
netdiscover-doc-0.21-r0.apk
4.20KB
2025-08-15 15:45:49
netscanner-0.5.1-r1.apk
3.59MB
2024-10-25 23:06:48
netscanner-doc-0.5.1-r1.apk
3.33KB
2024-10-25 23:06:48
netsed-1.4-r0.apk
9.70KB
2025-08-18 13:15:25
networkmanager-dmenu-2.6.1-r1.apk
13.80KB
2025-10-13 18:43:00
networkmanager-dmenu-doc-2.6.1-r1.apk
6.79KB
2025-10-13 18:43:00
newsyslog-1.2.0.91-r1.apk
18.92KB
2024-10-25 23:06:48
newsyslog-doc-1.2.0.91-r1.apk
24.02KB
2024-10-25 23:06:48
nextpnr-0.7-r0.apk
1.45KB
2024-10-25 23:06:48
nextpnr-ecp5-0.7-r0.apk
25.39MB
2024-10-25 23:06:48
nextpnr-generic-0.7-r0.apk
708.61KB
2024-10-25 23:06:48
nextpnr-gowin-0.7-r0.apk
1.45MB
2024-10-25 23:06:48
nextpnr-ice40-0.7-r0.apk
68.53MB
2024-10-25 23:06:49
nfcd-1.2.3-r1.apk
278.50KB
2025-11-15 13:12:09
nfcd-dev-1.2.3-r1.apk
24.37KB
2025-11-15 13:12:09
nfcd-systemd-1.2.3-r1.apk
1.82KB
2025-11-15 13:12:09
nfoview-2.1-r0.apk
38.67KB
2025-04-13 13:30:04
nfoview-doc-2.1-r0.apk
8.00KB
2025-04-13 13:30:04
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
712.72KB
2024-10-25 23:06:49
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
21.26KB
2024-10-25 23:06:49
nicotine-plus-3.3.10-r0.apk
1.56MB
2025-05-01 21:06:14
nicotine-plus-doc-3.3.10-r0.apk
2.58KB
2025-05-01 21:06:14
nicotine-plus-lang-3.3.10-r0.apk
757.05KB
2025-05-01 21:06:14
nicotine-plus-pyc-3.3.10-r0.apk
788.86KB
2025-05-01 21:06:14
nitro-2.7_beta8-r2.apk
501.34KB
2024-10-25 23:06:50
nitro-dev-2.7_beta8-r2.apk
189.96KB
2024-10-25 23:06:50
nitro-init-0.5-r0.apk
21.88KB
2025-10-19 11:36:51
nitro-init-doc-0.5-r0.apk
4.61KB
2025-10-19 11:36:51
nkk-0_git20221010-r0.apk
12.93KB
2024-10-25 23:06:50
nkk-dev-0_git20221010-r0.apk
2.90KB
2024-10-25 23:06:50
nkk-doc-0_git20221010-r0.apk
6.97KB
2024-10-25 23:06:50
nmap-parse-output-1.5.1-r1.apk
20.49KB
2025-05-29 14:57:04
nmap-parse-output-bash-completion-1.5.1-r1.apk
1.98KB
2025-05-29 14:57:04
nmap-parse-output-doc-1.5.1-r1.apk
807.69KB
2025-05-29 14:57:04
noblenote-1.2.1-r1.apk
383.55KB
2024-10-25 23:06:50
noggin-0.1-r23.apk
1.37MB
2025-12-04 15:54:23
noggin-doc-0.1-r23.apk
2.92KB
2025-12-04 15:54:23
noggin-model-0.1-r0.apk
11.99MB
2024-10-25 23:06:50
noggin-model-lightweight-0.1-r0.apk
1.68MB
2024-10-25 23:06:50
noice-0.8-r1.apk
8.69KB
2024-10-25 23:06:50
noice-doc-0.8-r1.apk
3.36KB
2024-10-25 23:06:50
nom-2.8.0-r8.apk
6.90MB
2025-12-04 15:54:23
nom-doc-2.8.0-r8.apk
4.02KB
2025-12-04 15:54:23
nomadnet-0.8.0-r0.apk
143.28KB
2025-09-23 22:39:58
nomadnet-pyc-0.8.0-r0.apk
284.64KB
2025-09-23 22:39:58
normaliz-3.10.4-r3.apk
38.27KB
2025-11-26 10:23:47
normaliz-dev-3.10.4-r3.apk
72.57KB
2025-11-26 10:23:47
normaliz-libs-3.10.4-r3.apk
2.74MB
2025-11-26 10:23:47
notification-daemon-3.20.0-r1.apk
56.28KB
2025-07-02 00:59:02
nrf5-sdk-17.1.0-r0.apk
46.96MB
2025-08-19 22:54:04
nrf5-sdk-doc-17.1.0-r0.apk
3.63KB
2025-08-19 22:54:04
nsh-0.4.2-r1.apk
614.97KB
2024-10-25 23:06:50
nsh-dbg-0.4.2-r1.apk
3.35MB
2024-10-25 23:06:50
nsnake-3.0.0-r0.apk
8.70KB
2024-10-25 23:06:50
nsnake-doc-3.0.0-r0.apk
2.63KB
2024-10-25 23:06:50
nsq-1.3.0-r10.apk
25.32MB
2025-05-15 00:14:12
ntpd-rs-1.6.2-r1.apk
3.18MB
2025-09-28 22:46:10
ntpd-rs-doc-1.6.2-r1.apk
24.20KB
2025-09-28 22:46:10
ntpd-rs-openrc-1.6.2-r1.apk
1.91KB
2025-09-28 22:46:10
nuklear-4.12.0-r0.apk
219.81KB
2024-10-25 23:06:50
nuklear-doc-4.12.0-r0.apk
42.41KB
2024-10-25 23:06:50
nullmailer-2.2-r4.apk
92.71KB
2024-10-25 23:06:50
nullmailer-doc-2.2-r4.apk
10.22KB
2024-10-25 23:06:50
nullmailer-openrc-2.2-r4.apk
1.60KB
2024-10-25 23:06:50
numbat-1.16.0-r0.apk
1.89MB
2025-08-19 16:11:37
numbat-doc-1.16.0-r0.apk
31.95KB
2025-08-19 16:11:37
nuzzle-1.6-r0.apk
11.70KB
2025-01-25 09:04:05
nuzzle-doc-1.6-r0.apk
3.20KB
2025-01-25 09:04:05
nvim-cmp-0.0.0_git20221011-r1.apk
54.85KB
2024-10-25 23:06:50
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
7.81KB
2024-10-25 23:06:50
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
4.15KB
2024-10-25 23:06:50
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
3.32KB
2024-10-25 23:06:50
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
1.79KB
2024-10-25 23:06:50
nvim-cmp-doc-0.0.0_git20221011-r1.apk
10.46KB
2024-10-25 23:06:50
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
3.48KB
2024-10-25 23:06:50
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2.60KB
2024-10-25 23:06:50
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
3.52KB
2024-10-25 23:06:50
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2.04KB
2024-10-25 23:06:50
nvim-cmp-path-0.0.0_git20221002-r1.apk
3.77KB
2024-10-25 23:06:50
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
1.98KB
2024-10-25 23:06:50
nvimpager-0.12.0-r0.apk
12.56KB
2024-10-25 23:06:50
nvimpager-doc-0.12.0-r0.apk
4.40KB
2024-10-25 23:06:50
nvimpager-zsh-completion-0.12.0-r0.apk
1.80KB
2024-10-25 23:06:50
nvtop-3.2.0-r0.apk
69.71KB
2025-04-30 02:39:43
nvtop-doc-3.2.0-r0.apk
3.53KB
2025-04-30 02:39:43
nwg-bar-0.1.6-r15.apk
1.52MB
2025-12-04 15:54:23
nwg-displays-0.3.26-r0.apk
26.66KB
2025-08-28 10:39:42
nwg-displays-pyc-0.3.26-r0.apk
36.05KB
2025-08-28 10:39:42
nwg-dock-0.4.3-r5.apk
1.67MB
2025-12-04 15:54:24
nwg-look-1.0.6-r1.apk
1.42MB
2025-12-04 15:54:24
nwg-look-doc-1.0.6-r1.apk
4.16KB
2025-12-04 15:54:24
nwg-menu-0.1.9-r4.apk
1.62MB
2025-12-04 15:54:24
nwg-menu-doc-0.1.9-r4.apk
2.27KB
2025-12-04 15:54:24
nwg-panel-0.10.13-r0.apk
288.04KB
2025-11-28 15:29:40
nwg-panel-doc-0.10.13-r0.apk
4.45KB
2025-11-28 15:29:40
nwg-panel-pyc-0.10.13-r0.apk
269.72KB
2025-11-28 15:29:40
nwipe-0.39-r0.apk
277.22KB
2025-12-05 04:01:06
nwipe-doc-0.39-r0.apk
3.80KB
2025-12-05 04:01:06
nymphcast-mediaserver-0.1-r4.apk
59.92KB
2025-08-29 00:08:05
nymphcast-mediaserver-nftables-0.1-r4.apk
1.69KB
2025-08-29 00:08:05
nyuu-0.4.2-r0.apk
704.50KB
2025-10-13 00:03:43
nzbget-25.4-r0.apk
4.70MB
2025-11-17 16:40:23
nzbget-openrc-25.4-r0.apk
2.08KB
2025-11-17 16:40:23
oauth2-proxy-7.11.0-r4.apk
8.53MB
2025-12-04 15:54:24
oauth2-proxy-openrc-7.11.0-r4.apk
2.11KB
2025-12-04 15:54:24
objconv-2.52_git20210213-r2.apk
230.67KB
2024-10-25 23:06:51
oblibs-0.3.4.0-r0.apk
34.35KB
2025-06-01 04:39:37
oblibs-dbg-0.3.4.0-r0.apk
97.20KB
2025-06-01 04:39:37
oblibs-dev-0.3.4.0-r0.apk
236.68KB
2025-06-01 04:39:37
obnc-0.17.2-r0.apk
143.45KB
2025-05-26 02:37:11
obnc-doc-0.17.2-r0.apk
33.32KB
2025-05-26 02:37:11
ocaml-camlpdf-2.8.1-r0.apk
6.03MB
2025-05-15 00:14:12
ocaml-camomile-1.0.2-r3.apk
1.32MB
2024-10-25 23:06:51
ocaml-camomile-data-1.0.2-r3.apk
5.06MB
2024-10-25 23:06:51
ocaml-camomile-dev-1.0.2-r3.apk
2.48MB
2024-10-25 23:06:52
ocaml-charinfo_width-1.1.0-r3.apk
103.99KB
2024-10-25 23:06:52
ocaml-charinfo_width-dev-1.1.0-r3.apk
189.35KB
2024-10-25 23:06:52
ocaml-cpdf-2.8.1-r0.apk
4.87MB
2025-05-15 00:14:12
ocaml-fileutils-0.6.4-r2.apk
313.74KB
2024-10-25 23:06:52
ocaml-fileutils-dev-0.6.4-r2.apk
598.02KB
2024-10-25 23:06:52
ocaml-fileutils-doc-0.6.4-r2.apk
15.78KB
2024-10-25 23:06:52
ocaml-gettext-0.4.2-r3.apk
3.41MB
2024-10-25 23:06:52
ocaml-gettext-dev-0.4.2-r3.apk
724.75KB
2024-10-25 23:06:52
ocaml-gettext-doc-0.4.2-r3.apk
19.16KB
2024-10-25 23:06:52
ocaml-lambda-term-3.2.0-r4.apk
3.44MB
2024-10-25 23:06:53
ocaml-lambda-term-dev-3.2.0-r4.apk
3.84MB
2024-10-25 23:06:53
ocaml-lambda-term-doc-3.2.0-r4.apk
8.78KB
2024-10-25 23:06:53
ocaml-libvirt-0.6.1.7-r0.apk
175.00KB
2024-10-25 23:06:53
ocaml-libvirt-dev-0.6.1.7-r0.apk
98.61KB
2024-10-25 23:06:53
ocaml-libvirt-doc-0.6.1.7-r0.apk
13.71KB
2024-10-25 23:06:53
ocaml-mew-0.1.0-r3.apk
68.75KB
2024-10-25 23:06:54
ocaml-mew-dev-0.1.0-r3.apk
95.85KB
2024-10-25 23:06:54
ocaml-mew_vi-0.5.0-r3.apk
185.24KB
2024-10-25 23:06:54
ocaml-mew_vi-dev-0.5.0-r3.apk
296.43KB
2024-10-25 23:06:54
ocaml-mqtt-0.2.2-r0.apk
156.19KB
2024-10-25 23:06:54
ocaml-mqtt-dev-0.2.2-r0.apk
258.50KB
2024-10-25 23:06:54
ocaml-obuild-0.1.11-r0.apk
1.07MB
2024-10-25 23:06:54
ocaml-omake-0.10.6-r0.apk
1.62MB
2024-10-25 23:06:54
ocaml-omake-doc-0.10.6-r0.apk
8.02KB
2024-10-25 23:06:54
ocaml-ptmap-2.0.5-r3.apk
53.72KB
2024-10-25 23:06:55
ocaml-ptmap-dev-2.0.5-r3.apk
94.03KB
2024-10-25 23:06:55
ocaml-qcheck-0.18.1-r3.apk
668.14KB
2024-10-25 23:06:55
ocaml-qcheck-dev-0.18.1-r3.apk
1.35MB
2024-10-25 23:06:55
ocaml-qtest-2.11.2-r3.apk
325.73KB
2024-10-25 23:06:55
ocaml-qtest-dev-2.11.2-r3.apk
3.61KB
2024-10-25 23:06:55
ocaml-reason-3.8.2-r2.apk
15.30MB
2025-10-25 07:57:51
ocaml-reason-dev-3.8.2-r2.apk
28.76MB
2025-10-25 07:57:51
ocaml-sha-1.15.4-r0.apk
81.81KB
2024-10-25 23:06:56
ocaml-sha-dev-1.15.4-r0.apk
239.94KB
2024-10-25 23:06:56
ocaml-trie-1.0.0-r2.apk
15.76KB
2024-10-25 23:06:56
ocaml-trie-dev-1.0.0-r2.apk
25.28KB
2024-10-25 23:06:56
ocaml-utop-2.9.1-r4.apk
349.20KB
2024-10-25 23:06:56
ocaml-utop-dev-2.9.1-r4.apk
762.76KB
2024-10-25 23:06:56
ocaml-zed-3.1.0-r3.apk
518.45KB
2024-10-25 23:06:57
ocaml-zed-dev-3.1.0-r3.apk
1.13MB
2024-10-25 23:06:57
ocfs2-tools-1.8.7-r4.apk
1.14MB
2024-10-25 23:06:57
ocfs2-tools-dev-1.8.7-r4.apk
44.95KB
2024-10-25 23:06:57
ocfs2-tools-doc-1.8.7-r4.apk
69.35KB
2024-10-25 23:06:57
octoprint-1.10.3-r0.apk
3.03MB
2024-12-26 00:04:03
octoprint-creality2xfix-0.0.4-r2.apk
4.71KB
2024-10-25 23:06:57
octoprint-creality2xfix-pyc-0.0.4-r2.apk
3.34KB
2024-10-25 23:06:57
octoprint-filecheck-2024.11.12-r0.apk
27.99KB
2025-07-15 11:22:16
octoprint-filecheck-pyc-2024.11.12-r0.apk
11.63KB
2025-07-15 11:22:16
octoprint-firmwarecheck-2021.10.11-r2.apk
29.31KB
2024-10-25 23:06:57
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
17.60KB
2024-10-25 23:06:57
octoprint-openrc-1.10.3-r0.apk
1.71KB
2024-12-26 00:04:03
octoprint-pisupport-2023.10.10-r1.apk
30.84KB
2024-10-25 23:06:57
octoprint-pisupport-pyc-2023.10.10-r1.apk
12.81KB
2024-10-25 23:06:57
octoprint-pyc-1.10.3-r0.apk
1.24MB
2024-12-26 00:04:03
oil-0.21.0-r0.apk
1.44MB
2024-10-25 23:06:57
oil-doc-0.21.0-r0.apk
6.98KB
2024-10-25 23:06:57
oils-for-unix-0.35.0-r0.apk
684.25KB
2025-09-29 01:29:30
oils-for-unix-bash-0.35.0-r0.apk
1.68KB
2025-09-29 01:29:30
oils-for-unix-binsh-0.35.0-r0.apk
1.52KB
2025-09-29 01:29:30
oils-for-unix-doc-0.35.0-r0.apk
7.02KB
2025-09-29 01:29:30
ol-2.6-r0.apk
1.01MB
2025-05-01 09:25:50
ol-dev-2.6-r0.apk
15.83KB
2025-05-01 09:25:50
ol-doc-2.6-r0.apk
2.59KB
2025-05-01 09:25:50
olsrd-0.9.8-r3.apk
167.95KB
2024-10-25 23:06:58
olsrd-doc-0.9.8-r3.apk
25.07KB
2024-10-25 23:06:58
olsrd-openrc-0.9.8-r3.apk
1.87KB
2024-10-25 23:06:58
olsrd-plugins-0.9.8-r3.apk
182.92KB
2024-10-25 23:06:58
oniux-0.6.1-r0.apk
5.95MB
2025-09-08 18:38:10
oniux-doc-0.6.1-r0.apk
3.25KB
2025-09-08 18:38:10
opcr-policy-0.3.1-r1.apk
9.81MB
2025-12-04 15:54:24
openapi-validator-1.19.2-r0.apk
9.94MB
2024-10-25 23:06:58
opendht-3.1.11-r0.apk
176.61KB
2025-01-27 22:56:38
opendht-dev-3.1.11-r0.apk
70.89KB
2025-01-27 22:56:38
opendht-doc-3.1.11-r0.apk
3.03KB
2025-01-27 22:56:38
opendht-libs-3.1.11-r0.apk
556.05KB
2025-01-27 22:56:38
openfortivpn-1.22.1-r0.apk
40.77KB
2024-12-11 23:31:54
openfortivpn-doc-1.22.1-r0.apk
6.30KB
2024-12-11 23:31:54
openfpgaloader-0.11.0-r0.apk
1.98MB
2024-10-25 23:06:58
openocd-esp32-0_git20250707-r2.apk
2.04MB
2025-10-20 22:44:36
openocd-esp32-dev-0_git20250707-r2.apk
3.66KB
2025-10-20 22:44:36
openocd-esp32-doc-0_git20250707-r2.apk
3.21KB
2025-10-20 22:44:36
openocd-esp32-udev-0_git20250707-r2.apk
3.50KB
2025-10-20 22:44:36
openocd-git-0_git20251018-r1.apk
1.78MB
2025-10-20 22:44:36
openocd-git-cmd-openocd-0_git20251018-r1.apk
1.57KB
2025-10-20 22:44:36
openocd-git-dbg-0_git20251018-r1.apk
4.21MB
2025-10-20 22:44:36
openocd-git-dev-0_git20251018-r1.apk
3.35KB
2025-10-20 22:44:36
openocd-git-doc-0_git20251018-r1.apk
3.21KB
2025-10-20 22:44:36
openocd-git-udev-0_git20251018-r1.apk
3.54KB
2025-10-20 22:44:36
openocd-riscv-0_git20230104-r2.apk
1.58MB
2024-10-25 23:06:58
openocd-riscv-dev-0_git20230104-r2.apk
3.72KB
2024-10-25 23:06:58
openocd-riscv-doc-0_git20230104-r2.apk
3.29KB
2024-10-25 23:06:58
openocd-riscv-udev-rules-0_git20230104-r2.apk
3.27KB
2024-10-25 23:06:58
openrdap-0.9.1-r0.apk
3.27MB
2025-06-24 18:50:27
openrdap-doc-0.9.1-r0.apk
2.23KB
2025-06-24 18:50:27
openscap-daemon-0.1.10-r9.apk
60.16KB
2024-10-25 23:06:58
openscap-daemon-doc-0.1.10-r9.apk
17.54KB
2024-10-25 23:06:58
openscap-daemon-pyc-0.1.10-r9.apk
101.88KB
2024-10-25 23:06:58
openswitcher-0.5.0-r4.apk
148.40KB
2024-10-25 23:06:58
openswitcher-proxy-0.5.0-r4.apk
9.38KB
2024-10-25 23:06:58
openswitcher-proxy-openrc-0.5.0-r4.apk
2.04KB
2024-10-25 23:06:58
opentelemetry-cpp-1.24.0-r0.apk
582.79KB
2025-11-22 15:33:25
opentelemetry-cpp-dev-1.24.0-r0.apk
521.28KB
2025-11-22 15:33:25
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
43.23KB
2025-11-22 15:33:25
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
57.04KB
2025-11-22 15:33:25
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
83.31KB
2025-11-22 15:33:25
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
48.16KB
2025-11-22 15:33:25
openvpn3-3.8.5-r1.apk
377.36KB
2025-02-17 14:07:17
openvpn3-dev-3.8.5-r1.apk
667.02KB
2025-02-17 14:07:17
openwsman-2.8.1-r1.apk
45.63KB
2025-06-30 10:14:28
openwsman-dev-2.8.1-r1.apk
55.71KB
2025-06-30 10:14:28
openwsman-doc-2.8.1-r1.apk
2.40KB
2025-06-30 10:14:28
openwsman-libs-2.8.1-r1.apk
305.18KB
2025-06-30 10:14:28
opkg-0.7.0-r0.apk
9.97KB
2024-10-25 23:06:59
opkg-dev-0.7.0-r0.apk
105.76KB
2024-10-25 23:06:59
opkg-doc-0.7.0-r0.apk
7.65KB
2024-10-25 23:06:59
opkg-libs-0.7.0-r0.apk
75.72KB
2024-10-25 23:06:59
opkg-utils-0.7.0-r0.apk
25.20KB
2024-10-25 23:06:59
opkg-utils-doc-0.7.0-r0.apk
3.62KB
2024-10-25 23:06:59
opmsg-1.84-r1.apk
256.36KB
2024-10-25 23:06:59
oppa-1.1.0-r0.apk
540.22KB
2025-10-12 16:21:48
orage-4.20.1-r0.apk
576.88KB
2025-04-08 14:49:15
orage-lang-4.20.1-r0.apk
1.21MB
2025-04-08 14:49:15
organicmaps-2025.09.05.1-r0.apk
125.93MB
2025-10-20 13:32:21
osmctools-0.9-r0.apk
134.27KB
2024-10-25 23:06:59
ostui-1.0.4-r0.apk
4.80MB
2025-09-11 05:50:14
ostui-doc-1.0.4-r0.apk
27.94KB
2025-09-11 05:50:14
otf-0.4.9-r0.apk
1.26KB
2025-12-04 15:54:24
otf-agent-0.4.9-r0.apk
8.13MB
2025-12-04 15:54:24
otf-agent-openrc-0.4.9-r0.apk
2.00KB
2025-12-04 15:54:24
otf-atkinson-hyperlegible-2020.0514-r1.apk
101.62KB
2024-10-25 23:06:59
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
47.69KB
2024-10-25 23:06:59
otf-cli-0.4.9-r0.apk
7.91MB
2025-12-04 15:54:24
otf-server-0.4.9-r0.apk
12.54MB
2025-12-04 15:54:25
otf-server-openrc-0.4.9-r0.apk
1.99KB
2025-12-04 15:54:25
otpclient-4.1.1-r0.apk
107.81KB
2025-07-24 04:27:28
otpclient-doc-4.1.1-r0.apk
3.65KB
2025-07-24 04:27:28
otrs-6.0.48-r2.apk
28.68MB
2024-10-25 23:06:59
otrs-apache2-6.0.48-r2.apk
4.03KB
2024-10-25 23:06:59
otrs-bash-completion-6.0.48-r2.apk
2.40KB
2024-10-25 23:06:59
otrs-dev-6.0.48-r2.apk
3.87MB
2024-10-25 23:06:59
otrs-doc-6.0.48-r2.apk
795.14KB
2024-10-25 23:06:59
otrs-fastcgi-6.0.48-r2.apk
1.77KB
2024-10-25 23:06:59
otrs-nginx-6.0.48-r2.apk
1.79KB
2024-10-25 23:06:59
otrs-openrc-6.0.48-r2.apk
1.89KB
2024-10-25 23:06:59
otrs-setup-6.0.48-r2.apk
107.34KB
2024-10-25 23:06:59
ouch-0.6.1-r0.apk
1.71MB
2025-05-28 10:38:20
ouch-bash-completion-0.6.1-r0.apk
2.50KB
2025-05-28 10:38:20
ouch-doc-0.6.1-r0.apk
3.82KB
2025-05-28 10:38:20
ouch-fish-completion-0.6.1-r0.apk
3.04KB
2025-05-28 10:38:20
ouch-zsh-completion-0.6.1-r0.apk
3.13KB
2025-05-28 10:38:20
ovhcloud-cli-0.9.0-r0.apk
7.37MB
2025-12-14 12:05:23
ovn-25.09.2-r0.apk
1.81MB
2025-12-15 00:05:22
ovn-dbg-25.09.2-r0.apk
6.70MB
2025-12-15 00:05:22
ovn-dev-25.09.2-r0.apk
1.81MB
2025-12-15 00:05:23
ovn-doc-25.09.2-r0.apk
263.69KB
2025-12-15 00:05:23
ovn-openrc-25.09.2-r0.apk
2.40KB
2025-12-15 00:05:23
ovos-audio-1.0.1-r0.apk
136.28KB
2025-07-15 23:03:31
ovos-audio-pyc-1.0.1-r0.apk
35.83KB
2025-07-15 23:03:31
ovos-core-2.1.0-r0.apk
49.00KB
2025-11-02 16:52:47
ovos-core-pyc-2.1.0-r0.apk
58.31KB
2025-11-02 16:52:47
ovos-gui-1.3.3-r0.apk
37.85KB
2025-07-15 23:03:31
ovos-gui-pyc-1.3.3-r0.apk
38.43KB
2025-07-15 23:03:31
ovos-messagebus-0.0.10-r0.apk
9.95KB
2025-04-08 10:43:39
ovos-messagebus-pyc-0.0.10-r0.apk
6.65KB
2025-04-08 10:43:39
ovos-phal-0.2.10-r0.apk
10.48KB
2025-07-15 22:29:56
ovos-phal-pyc-0.2.10-r0.apk
7.24KB
2025-07-15 22:29:56
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
45.64KB
2024-10-25 23:07:00
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
3.97KB
2024-10-25 23:07:00
ovpncc-0.1_rc1-r0.apk
11.94KB
2024-10-25 23:07:00
ovpncc-doc-0.1_rc1-r0.apk
6.52KB
2024-10-25 23:07:00
oxygen-icons-6.1.0-r0.apk
31.60MB
2024-10-25 23:07:01
p0f-3.09b-r3.apk
88.06KB
2024-10-25 23:07:01
p0f-doc-3.09b-r3.apk
25.26KB
2024-10-25 23:07:01
p910nd-0.97-r2.apk
7.16KB
2024-10-25 23:07:01
p910nd-doc-0.97-r2.apk
2.98KB
2024-10-25 23:07:01
p910nd-openrc-0.97-r2.apk
1.84KB
2024-10-25 23:07:01
packwiz-0_git20251102-r1.apk
4.71MB
2025-12-04 15:54:25
packwiz-doc-0_git20251102-r1.apk
2.26KB
2025-12-04 15:54:25
pacparser-1.4.5-r1.apk
728.46KB
2024-10-25 23:07:01
pacparser-dev-1.4.5-r1.apk
3.60KB
2024-10-25 23:07:01
pacparser-doc-1.4.5-r1.apk
17.75KB
2024-10-25 23:07:01
pam-krb5-4.11-r1.apk
21.33KB
2024-10-25 23:07:01
pam-krb5-doc-4.11-r1.apk
23.62KB
2024-10-25 23:07:01
pam-pkcs11-0.6.13-r1.apk
241.12KB
2025-11-17 14:54:54
pam-pkcs11-doc-0.6.13-r1.apk
14.21KB
2025-11-17 14:54:54
pam-pkcs11-systemd-0.6.13-r1.apk
1.76KB
2025-11-17 14:54:54
pam_sqlite3-1.0.2-r2.apk
8.30KB
2024-10-25 23:07:01
pamtester-0.1.2-r4.apk
8.37KB
2024-10-25 23:07:01
pamtester-doc-0.1.2-r4.apk
2.89KB
2024-10-25 23:07:01
pandora_box-0.16.1-r0.apk
418.73KB
2025-12-15 23:20:54
pantalaimon-0.10.5-r4.apk
44.76KB
2024-10-25 23:07:01
pantalaimon-doc-0.10.5-r4.apk
6.38KB
2024-10-25 23:07:01
pantalaimon-pyc-0.10.5-r4.apk
82.92KB
2024-10-25 23:07:01
pantalaimon-ui-0.10.5-r4.apk
1.72KB
2024-10-25 23:07:01
paperde-0.3.0-r2.apk
599.14KB
2025-08-21 10:47:58
paperde-dev-0.3.0-r2.apk
4.97KB
2025-08-21 10:47:58
paperkey-1.6-r2.apk
16.38KB
2024-10-25 23:07:01
paperkey-doc-1.6-r2.apk
4.49KB
2024-10-25 23:07:01
paprefs-1.2-r2.apk
28.69KB
2024-11-23 00:07:44
paprefs-lang-1.2-r2.apk
37.89KB
2024-11-23 00:07:44
par-1.53.0-r1.apk
13.93KB
2024-10-25 23:07:01
par-doc-1.53.0-r1.apk
30.33KB
2024-10-25 23:07:01
par2cmdline-turbo-1.3.0-r0.apk
182.65KB
2025-05-15 00:14:13
par2cmdline-turbo-doc-1.3.0-r0.apk
5.91KB
2025-05-15 00:14:13
parcellite-1.2.5-r0.apk
224.34KB
2024-10-25 23:07:01
parcellite-doc-1.2.5-r0.apk
25.23KB
2024-10-25 23:07:01
parcellite-lang-1.2.5-r0.apk
48.77KB
2024-10-25 23:07:01
parpar-0.4.5-r0.apk
1.90MB
2025-10-13 00:02:49
parse-changelog-0.6.12-r0.apk
568.60KB
2025-05-19 01:18:11
pash-2.3.0-r2.apk
4.29KB
2024-10-25 23:07:01
pasystray-0.8.2-r0.apk
44.24KB
2024-10-25 23:07:01
pasystray-doc-0.8.2-r0.apk
3.33KB
2024-10-25 23:07:01
pcl-1.14.1-r0.apk
433.53KB
2025-02-17 14:07:17
pcl-dev-1.14.1-r0.apk
386.89KB
2025-02-17 14:07:17
pcl-libs-1.14.1-r0.apk
1.20MB
2025-02-17 14:07:17
pdal-python-plugins-1.6.5-r0.apk
226.96KB
2025-06-22 00:24:03
pdf2svg-0.2.4-r0.apk
4.42KB
2025-09-28 21:49:41
pdfcrack-0.21-r0.apk
28.73KB
2025-11-19 10:08:36
pebble-le-0.3.0-r2.apk
63.94KB
2024-12-14 20:56:25
pebble-le-dev-0.3.0-r2.apk
43.45KB
2024-12-14 20:56:25
pebble-le-doc-0.3.0-r2.apk
3.68KB
2024-12-14 20:56:25
peervpn-0.044-r5.apk
39.55KB
2024-10-25 23:07:01
peervpn-openrc-0.044-r5.apk
1.79KB
2024-10-25 23:07:01
peg-0.1.18-r1.apk
33.91KB
2024-10-25 23:07:01
peg-doc-0.1.18-r1.apk
13.67KB
2024-10-25 23:07:01
percona-toolkit-3.5.4-r1.apk
1.78MB
2024-10-25 23:07:01
percona-toolkit-doc-3.5.4-r1.apk
297.52KB
2024-10-25 23:07:01
perl-adapter-async-0.019-r0.apk
8.11KB
2024-10-25 23:07:01
perl-adapter-async-doc-0.019-r0.apk
16.75KB
2024-10-25 23:07:01
perl-algorithm-backoff-0.010-r0.apk
9.59KB
2024-10-25 23:07:01
perl-algorithm-backoff-doc-0.010-r0.apk
29.47KB
2024-10-25 23:07:01
perl-algorithm-c3-0.11-r1.apk
5.63KB
2024-10-25 23:07:01
perl-algorithm-c3-doc-0.11-r1.apk
5.08KB
2024-10-25 23:07:01
perl-algorithm-cron-0.10-r4.apk
6.08KB
2024-10-25 23:07:01
perl-algorithm-cron-doc-0.10-r4.apk
4.58KB
2024-10-25 23:07:01
perl-algorithm-evolutionary-0.82.1-r0.apk
78.74KB
2024-10-25 23:07:01
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
147.73KB
2024-10-25 23:07:01
perl-algorithm-permute-0.17-r1.apk
11.36KB
2025-06-30 10:14:28
perl-algorithm-permute-doc-0.17-r1.apk
5.12KB
2025-06-30 10:14:28
perl-aliased-0.34-r4.apk
5.64KB
2024-10-25 23:07:01
perl-aliased-doc-0.34-r4.apk
5.65KB
2024-10-25 23:07:01
perl-alien-base-modulebuild-1.17-r0.apk
21.74KB
2025-06-08 19:14:42
perl-alien-base-modulebuild-doc-1.17-r0.apk
53.42KB
2025-06-08 19:14:42
perl-alien-libgumbo-0.05-r1.apk
557.53KB
2025-06-30 10:14:28
perl-alien-libgumbo-doc-0.05-r1.apk
4.82KB
2025-06-30 10:14:28
perl-anyevent-dbus-0.31-r0.apk
3.43KB
2025-12-13 09:33:28
perl-anyevent-dbus-doc-0.31-r0.apk
3.76KB
2025-12-13 09:33:28
perl-anyevent-dns-etchosts-0.0105-r0.apk
5.09KB
2024-10-25 23:07:01
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
3.96KB
2024-10-25 23:07:01
perl-anyevent-riperedis-0.48-r0.apk
12.37KB
2024-10-25 23:07:01
perl-anyevent-riperedis-doc-0.48-r0.apk
10.28KB
2024-10-25 23:07:01
perl-archive-any-lite-0.11-r0.apk
4.12KB
2025-07-15 11:22:16
perl-archive-any-lite-doc-0.11-r0.apk
3.69KB
2025-07-15 11:22:16
perl-archive-extract-0.88-r1.apk
15.73KB
2024-10-25 23:07:01
perl-archive-extract-doc-0.88-r1.apk
6.81KB
2024-10-25 23:07:01
perl-array-diff-0.09-r0.apk
3.33KB
2025-07-10 05:28:29
perl-array-diff-doc-0.09-r0.apk
3.88KB
2025-07-10 05:28:29
perl-asa-1.04-r0.apk
4.32KB
2025-06-11 19:34:50
perl-asa-doc-1.04-r0.apk
5.00KB
2025-06-11 19:34:50
perl-astro-0.78-r0.apk
31.97KB
2025-07-24 04:27:28
perl-astro-coords-0.23-r0.apk
52.59KB
2025-10-09 01:16:38
perl-astro-coords-doc-0.23-r0.apk
40.34KB
2025-10-09 01:16:38
perl-astro-doc-0.78-r0.apk
13.82KB
2025-07-24 04:27:28
perl-astro-montenbruck-1.26-r0.apk
53.43KB
2025-07-24 04:27:28
perl-astro-montenbruck-doc-1.26-r0.apk
59.69KB
2025-07-24 04:27:28
perl-astro-pal-1.09-r0.apk
203.83KB
2025-07-24 04:27:28
perl-astro-pal-doc-1.09-r0.apk
7.36KB
2025-07-24 04:27:28
perl-astro-satpass-0.134-r0.apk
218.11KB
2025-09-18 20:15:52
perl-astro-satpass-doc-0.134-r0.apk
132.88KB
2025-09-18 20:15:52
perl-astro-telescope-0.71-r0.apk
48.33KB
2025-07-24 04:27:28
perl-astro-telescope-doc-0.71-r0.apk
5.00KB
2025-07-24 04:27:28
perl-autobox-3.0.2-r1.apk
18.20KB
2025-06-30 10:14:28
perl-autobox-doc-3.0.2-r1.apk
8.90KB
2025-06-30 10:14:28
perl-b-hooks-op-check-0.22-r1.apk
6.29KB
2025-06-30 10:14:28
perl-b-hooks-op-check-doc-0.22-r1.apk
3.77KB
2025-06-30 10:14:28
perl-b-utils-0.27-r1.apk
18.70KB
2025-06-30 10:14:28
perl-b-utils-doc-0.27-r1.apk
9.51KB
2025-06-30 10:14:28
perl-badger-0.16-r1.apk
253.12KB
2025-11-12 01:13:42
perl-badger-doc-0.16-r1.apk
262.76KB
2025-11-12 01:13:42
perl-barcode-zbar-0.10-r4.apk
28.63KB
2025-06-30 10:14:28
perl-barcode-zbar-doc-0.10-r4.apk
12.68KB
2025-06-30 10:14:28
perl-bareword-filehandles-0.007-r1.apk
5.64KB
2025-06-30 10:14:28
perl-bareword-filehandles-doc-0.007-r1.apk
3.24KB
2025-06-30 10:14:28
perl-bind-config-parser-0.01-r5.apk
3.86KB
2024-10-25 23:07:01
perl-bind-config-parser-doc-0.01-r5.apk
3.61KB
2024-10-25 23:07:01
perl-bsd-resource-1.2911-r11.apk
18.66KB
2025-06-30 10:14:28
perl-bsd-resource-doc-1.2911-r11.apk
7.93KB
2025-06-30 10:14:28
perl-bytes-random-secure-0.29-r0.apk
14.30KB
2024-10-25 23:07:01
perl-bytes-random-secure-doc-0.29-r0.apk
12.13KB
2024-10-25 23:07:01
perl-cache-lru-0.04-r0.apk
2.94KB
2024-10-25 23:07:01
perl-cache-lru-doc-0.04-r0.apk
3.20KB
2024-10-25 23:07:01
perl-carp-assert-more-2.9.0-r0.apk
8.97KB
2025-04-13 17:57:03
perl-carp-assert-more-doc-2.9.0-r0.apk
7.79KB
2025-04-13 17:57:03
perl-carp-repl-0.18-r0.apk
5.96KB
2025-04-29 22:46:40
perl-carp-repl-doc-0.18-r0.apk
5.87KB
2025-04-29 22:46:40
perl-catalyst-action-renderview-0.17-r0.apk
3.80KB
2025-03-19 16:53:02
perl-catalyst-action-renderview-doc-0.17-r0.apk
4.04KB
2025-03-19 16:53:02
perl-catalyst-action-rest-1.21-r0.apk
25.40KB
2025-04-01 10:19:25
perl-catalyst-action-rest-doc-1.21-r0.apk
27.07KB
2025-04-01 10:19:25
perl-catalyst-actionrole-acl-0.07-r0.apk
4.34KB
2025-05-15 00:14:13
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
5.74KB
2025-05-15 00:14:13
perl-catalyst-authentication-credential-http-1.018-r0.apk
8.61KB
2025-04-04 08:40:46
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
6.76KB
2025-04-04 08:40:46
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
13.32KB
2025-06-11 19:35:26
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
13.86KB
2025-06-11 19:35:26
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2.72KB
2025-06-19 13:55:16
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
3.29KB
2025-06-19 13:55:16
perl-catalyst-controller-actionrole-0.17-r0.apk
4.99KB
2025-04-04 08:41:29
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
4.45KB
2025-04-04 08:41:29
perl-catalyst-devel-1.42-r0.apk
54.07KB
2025-03-20 18:04:27
perl-catalyst-devel-doc-1.42-r0.apk
11.38KB
2025-03-20 18:04:27
perl-catalyst-manual-5.9013-r0.apk
2.69KB
2025-04-04 08:22:28
perl-catalyst-manual-doc-5.9013-r0.apk
375.13KB
2025-04-04 08:22:28
perl-catalyst-model-adaptor-0.10-r0.apk
6.48KB
2025-04-13 17:57:03
perl-catalyst-model-adaptor-doc-0.10-r0.apk
12.11KB
2025-04-13 17:57:03
perl-catalyst-model-dbic-schema-0.66-r0.apk
19.12KB
2025-06-11 19:35:26
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
17.91KB
2025-06-11 19:35:26
perl-catalyst-plugin-authentication-0.10024-r0.apk
32.22KB
2025-03-29 10:34:17
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
55.90KB
2025-03-29 10:34:17
perl-catalyst-plugin-configloader-0.35-r0.apk
5.39KB
2025-03-20 18:04:27
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
10.32KB
2025-03-20 18:04:27
perl-catalyst-plugin-i18n-0.10-r0.apk
4.13KB
2025-04-04 11:55:57
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
13.28KB
2025-04-04 11:55:57
perl-catalyst-plugin-session-0.43-r0.apk
14.43KB
2025-04-04 14:56:58
perl-catalyst-plugin-session-doc-0.43-r0.apk
25.13KB
2025-04-04 14:56:58
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
4.85KB
2025-04-20 07:32:44
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
4.85KB
2025-04-20 07:32:44
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
5.89KB
2025-06-13 21:17:49
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
6.44KB
2025-06-13 21:17:49
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
4.48KB
2025-06-13 21:17:49
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
4.53KB
2025-06-13 21:17:49
perl-catalyst-plugin-session-store-file-0.18-r0.apk
3.65KB
2025-06-14 05:46:46
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
4.01KB
2025-06-14 05:46:46
perl-catalyst-plugin-stacktrace-0.12-r0.apk
4.67KB
2025-06-14 05:46:46
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
3.88KB
2025-06-14 05:46:46
perl-catalyst-plugin-static-simple-0.37-r0.apk
8.70KB
2025-03-20 18:04:27
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
7.41KB
2025-03-20 18:04:27
perl-catalyst-runtime-5.90132-r0.apk
149.69KB
2025-03-19 13:44:56
perl-catalyst-runtime-doc-5.90132-r0.apk
216.34KB
2025-03-19 13:44:56
perl-catalyst-view-email-0.36-r0.apk
9.08KB
2025-04-04 11:55:57
perl-catalyst-view-email-doc-0.36-r0.apk
10.76KB
2025-04-04 11:55:57
perl-catalyst-view-tt-0.46-r0.apk
13.62KB
2025-04-12 18:51:53
perl-catalyst-view-tt-doc-0.46-r0.apk
12.69KB
2025-04-12 18:51:53
perl-catalystx-component-traits-0.19-r0.apk
4.32KB
2025-05-15 00:14:13
perl-catalystx-component-traits-doc-0.19-r0.apk
4.00KB
2025-05-15 00:14:13
perl-catalystx-injectcomponent-0.025-r0.apk
3.42KB
2025-05-01 09:34:27
perl-catalystx-injectcomponent-doc-0.025-r0.apk
3.58KB
2025-05-01 09:34:27
perl-catalystx-leakchecker-0.06-r0.apk
3.38KB
2025-06-15 07:46:48
perl-catalystx-leakchecker-doc-0.06-r0.apk
3.52KB
2025-06-15 07:46:48
perl-catalystx-profile-0.02-r0.apk
3.07KB
2025-06-15 07:46:48
perl-catalystx-profile-doc-0.02-r0.apk
4.54KB
2025-06-15 07:46:48
perl-catalystx-repl-0.04-r0.apk
3.30KB
2025-04-29 22:46:40
perl-catalystx-repl-doc-0.04-r0.apk
3.62KB
2025-04-29 22:46:40
perl-catalystx-simplelogin-0.21-r0.apk
10.68KB
2025-05-15 00:14:13
perl-catalystx-simplelogin-doc-0.21-r0.apk
24.19KB
2025-05-15 00:14:13
perl-cgi-expand-2.05-r4.apk
6.85KB
2024-10-25 23:07:01
perl-cgi-expand-doc-2.05-r4.apk
6.14KB
2024-10-25 23:07:01
perl-cgi-simple-1.282-r0.apk
55.63KB
2025-08-30 07:17:23
perl-cgi-simple-doc-1.282-r0.apk
42.73KB
2025-08-30 07:17:23
perl-cgi-struct-1.21-r0.apk
7.83KB
2025-03-14 18:31:47
perl-cgi-struct-doc-1.21-r0.apk
6.78KB
2025-03-14 18:31:47
perl-check-unitcheck-0.13-r2.apk
5.69KB
2025-06-30 10:14:28
perl-check-unitcheck-doc-0.13-r2.apk
3.61KB
2025-06-30 10:14:28
perl-class-accessor-grouped-0.10014-r2.apk
12.00KB
2024-10-25 23:07:01
perl-class-accessor-grouped-doc-0.10014-r2.apk
7.46KB
2024-10-25 23:07:01
perl-class-c3-0.35-r1.apk
9.44KB
2024-10-25 23:07:01
perl-class-c3-adopt-next-0.14-r0.apk
5.08KB
2025-03-14 18:31:47
perl-class-c3-adopt-next-doc-0.14-r0.apk
4.67KB
2025-03-14 18:31:47
perl-class-c3-componentised-1.001002-r2.apk
5.50KB
2024-10-25 23:07:01
perl-class-c3-componentised-doc-1.001002-r2.apk
5.32KB
2024-10-25 23:07:01
perl-class-c3-doc-0.35-r1.apk
9.26KB
2024-10-25 23:07:01
perl-class-unload-0.11-r0.apk
2.59KB
2025-06-04 20:22:17
perl-class-unload-doc-0.11-r0.apk
3.06KB
2025-06-04 20:22:17
perl-cli-osprey-0.08-r0.apk
12.75KB
2024-12-28 06:54:12
perl-cli-osprey-doc-0.08-r0.apk
12.05KB
2024-12-28 06:54:12
perl-clipboard-0.32-r1.apk
10.42KB
2025-10-13 18:43:00
perl-clipboard-doc-0.32-r1.apk
26.87KB
2025-10-13 18:43:00
perl-color-ansi-util-0.165-r0.apk
7.29KB
2024-10-25 23:07:01
perl-color-ansi-util-doc-0.165-r0.apk
5.16KB
2024-10-25 23:07:01
perl-color-rgb-util-0.609-r0.apk
9.46KB
2025-03-19 13:44:56
perl-color-rgb-util-doc-0.609-r0.apk
7.36KB
2025-03-19 13:44:56
perl-conf-libconfig-1.0.3-r2.apk
22.63KB
2025-06-30 10:14:28
perl-conf-libconfig-doc-1.0.3-r2.apk
5.50KB
2025-06-30 10:14:28
perl-constant-defer-6-r5.apk
7.39KB
2024-10-25 23:07:01
perl-constant-defer-doc-6-r5.apk
6.93KB
2024-10-25 23:07:01
perl-constant-generate-0.17-r5.apk
8.73KB
2024-10-25 23:07:01
perl-constant-generate-doc-0.17-r5.apk
7.02KB
2024-10-25 23:07:01
perl-context-preserve-0.03-r4.apk
3.85KB
2024-10-25 23:07:01
perl-context-preserve-doc-0.03-r4.apk
4.19KB
2024-10-25 23:07:01
perl-cpan-audit-20250829.001-r0.apk
13.52KB
2025-09-05 09:56:12
perl-cpan-audit-doc-20250829.001-r0.apk
12.36KB
2025-09-05 09:56:12
perl-cpan-changes-0.500005-r0.apk
13.41KB
2025-08-16 17:16:11
perl-cpan-changes-doc-0.500005-r0.apk
18.34KB
2025-08-16 17:16:11
perl-cpansa-db-20250807.001-r0.apk
1.29MB
2025-09-01 16:16:07
perl-cpansa-db-doc-20250807.001-r0.apk
5.57KB
2025-09-01 16:16:07
perl-crypt-blowfish-2.14-r1.apk
12.46KB
2025-06-30 10:14:28
perl-crypt-blowfish-doc-2.14-r1.apk
4.07KB
2025-06-30 10:14:28
perl-crypt-random-seed-0.03-r0.apk
11.22KB
2024-10-25 23:07:01
perl-crypt-random-seed-doc-0.03-r0.apk
8.78KB
2024-10-25 23:07:01
perl-crypt-saltedhash-0.09-r5.apk
6.93KB
2024-10-25 23:07:01
perl-crypt-saltedhash-doc-0.09-r5.apk
6.34KB
2024-10-25 23:07:01
perl-css-inliner-4027-r0.apk
15.82KB
2025-06-16 14:50:54
perl-css-inliner-doc-4027-r0.apk
9.44KB
2025-06-16 14:50:54
perl-css-object-0.2.0-r0.apk
22.75KB
2024-10-25 23:07:01
perl-css-object-doc-0.2.0-r0.apk
32.56KB
2024-10-25 23:07:01
perl-daemon-control-0.001010-r2.apk
12.36KB
2024-10-25 23:07:01
perl-daemon-control-doc-0.001010-r2.apk
8.23KB
2024-10-25 23:07:01
perl-dancer-plugin-auth-extensible-1.00-r5.apk
15.29KB
2024-10-25 23:07:01
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
14.79KB
2024-10-25 23:07:01
perl-dancer-plugin-dbic-0.2104-r5.apk
4.91KB
2024-10-25 23:07:01
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
5.33KB
2024-10-25 23:07:01
perl-dancer-plugin-passphrase-2.0.1-r4.apk
9.68KB
2024-10-25 23:07:01
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
8.43KB
2024-10-25 23:07:01
perl-dancer2-1.1.2-r0.apk
163.04KB
2024-12-28 06:54:12
perl-dancer2-doc-1.1.2-r0.apk
300.77KB
2024-12-28 06:54:12
perl-data-binary-0.01-r0.apk
2.77KB
2025-07-10 05:28:29
perl-data-binary-doc-0.01-r0.apk
3.08KB
2025-07-10 05:28:29
perl-data-checks-0.11-r0.apk
21.25KB
2025-11-26 10:23:47
perl-data-checks-doc-0.11-r0.apk
8.48KB
2025-11-26 10:23:47
perl-data-clone-0.006-r1.apk
9.28KB
2025-06-30 10:14:28
perl-data-clone-doc-0.006-r1.apk
4.54KB
2025-06-30 10:14:28
perl-data-dump-streamer-2.42-r1.apk
49.31KB
2025-06-30 10:14:28
perl-data-dump-streamer-doc-2.42-r1.apk
17.26KB
2025-06-30 10:14:28
perl-data-section-0.200008-r0.apk
6.42KB
2025-07-07 20:02:49
perl-data-section-doc-0.200008-r0.apk
5.62KB
2025-07-07 20:02:49
perl-data-validate-domain-0.15-r0.apk
5.82KB
2024-10-25 23:07:01
perl-data-validate-domain-doc-0.15-r0.apk
5.45KB
2024-10-25 23:07:01
perl-data-validate-ip-0.31-r1.apk
8.81KB
2024-10-25 23:07:01
perl-data-validate-ip-doc-0.31-r1.apk
5.85KB
2024-10-25 23:07:01
perl-data-visitor-0.32-r0.apk
9.78KB
2025-03-19 16:53:02
perl-data-visitor-doc-0.32-r0.apk
8.31KB
2025-03-19 16:53:02
perl-database-async-0.019-r0.apk
22.78KB
2024-10-25 23:07:01
perl-database-async-doc-0.019-r0.apk
29.18KB
2024-10-25 23:07:01
perl-database-async-engine-postgresql-1.005-r0.apk
13.94KB
2024-10-25 23:07:01
perl-database-async-engine-postgresql-doc-1.005-r0.apk
9.31KB
2024-10-25 23:07:01
perl-date-range-1.41-r0.apk
3.75KB
2025-07-08 19:53:50
perl-date-range-doc-1.41-r0.apk
4.04KB
2025-07-08 19:53:50
perl-datetime-astro-1.04-r0.apk
34.02KB
2025-07-24 04:27:28
perl-datetime-astro-doc-1.04-r0.apk
6.01KB
2025-07-24 04:27:28
perl-datetime-format-atom-1.8.0-r0.apk
3.15KB
2025-01-05 17:08:09
perl-datetime-format-atom-doc-1.8.0-r0.apk
3.77KB
2025-01-05 17:08:09
perl-datetime-format-flexible-0.37-r0.apk
18.05KB
2024-12-31 15:25:59
perl-datetime-format-flexible-doc-0.37-r0.apk
12.12KB
2024-12-31 15:25:59
perl-datetime-format-rfc3339-1.10.0-r0.apk
4.39KB
2025-01-05 17:08:09
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
4.14KB
2025-01-05 17:08:09
perl-datetime-set-0.3900-r0.apk
19.92KB
2025-07-24 04:27:28
perl-datetime-set-doc-0.3900-r0.apk
17.85KB
2025-07-24 04:27:28
perl-datetime-timezone-alias-0.06-r0.apk
2.52KB
2024-10-25 23:07:01
perl-datetime-timezone-alias-doc-0.06-r0.apk
7.60KB
2024-10-25 23:07:01
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
11.81KB
2024-10-25 23:07:01
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
15.03KB
2024-10-25 23:07:01
perl-dbicx-sugar-0.0200-r5.apk
5.87KB
2024-10-25 23:07:01
perl-dbicx-sugar-doc-0.0200-r5.apk
5.31KB
2024-10-25 23:07:01
perl-dbix-class-0.082844-r0.apk
355.48KB
2025-01-16 19:26:54
perl-dbix-class-candy-0.005004-r0.apk
7.87KB
2024-10-30 07:59:45
perl-dbix-class-candy-doc-0.005004-r0.apk
9.48KB
2024-10-30 07:59:45
perl-dbix-class-cursor-cached-1.001004-r0.apk
3.21KB
2025-06-09 19:27:11
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
3.05KB
2025-06-09 19:27:11
perl-dbix-class-doc-0.082844-r0.apk
420.50KB
2025-01-16 19:26:54
perl-dbix-class-helpers-2.037000-r0.apk
47.71KB
2024-11-20 00:34:16
perl-dbix-class-helpers-doc-2.037000-r0.apk
121.36KB
2024-11-20 00:34:16
perl-dbix-class-schema-loader-0.07053-r0.apk
97.37KB
2025-06-09 19:27:11
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
77.33KB
2025-06-09 19:27:11
perl-dbix-connector-0.60-r0.apk
14.75KB
2024-12-30 08:37:12
perl-dbix-connector-doc-0.60-r0.apk
22.30KB
2024-12-30 08:37:12
perl-dbix-datasource-0.02-r5.apk
4.28KB
2024-10-25 23:07:01
perl-dbix-datasource-doc-0.02-r5.apk
7.52KB
2024-10-25 23:07:01
perl-dbix-introspector-0.001005-r4.apk
8.03KB
2024-10-25 23:07:01
perl-dbix-introspector-doc-0.001005-r4.apk
8.44KB
2024-10-25 23:07:01
perl-dbix-lite-0.36-r0.apk
18.02KB
2024-12-30 08:37:12
perl-dbix-lite-doc-0.36-r0.apk
17.52KB
2024-12-30 08:37:12
perl-devel-confess-0.009004-r0.apk
11.41KB
2024-10-25 23:07:01
perl-devel-confess-doc-0.009004-r0.apk
6.63KB
2024-10-25 23:07:01
perl-devel-leak-0.03-r14.apk
6.43KB
2025-06-30 10:14:28
perl-devel-leak-doc-0.03-r14.apk
3.35KB
2025-06-30 10:14:28
perl-devel-nytprof-6.14-r1.apk
384.66KB
2025-06-30 10:14:28
perl-devel-nytprof-doc-6.14-r1.apk
50.53KB
2025-06-30 10:14:28
perl-devel-refcount-0.10-r2.apk
5.98KB
2025-06-30 10:14:28
perl-devel-refcount-doc-0.10-r2.apk
4.30KB
2025-06-30 10:14:28
perl-devel-repl-1.003029-r0.apk
28.35KB
2025-04-24 17:50:06
perl-devel-repl-doc-1.003029-r0.apk
60.15KB
2025-04-24 17:50:06
perl-devel-stacktrace-withlexicals-2.01-r0.apk
3.66KB
2025-04-29 22:46:40
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
3.42KB
2025-04-29 22:46:40
perl-devel-trace-0.12-r0.apk
3.36KB
2025-07-06 22:08:00
perl-devel-trace-doc-0.12-r0.apk
3.47KB
2025-07-06 22:08:00
perl-digest-bcrypt-1.212-r1.apk
5.55KB
2024-10-25 23:07:01
perl-digest-bcrypt-doc-1.212-r1.apk
5.16KB
2024-10-25 23:07:01
perl-digest-crc-0.24-r2.apk
9.56KB
2025-06-30 10:14:28
perl-digest-crc-doc-0.24-r2.apk
3.28KB
2025-06-30 10:14:28
perl-dns-unbound-0.29-r2.apk
22.44KB
2025-06-30 10:14:28
perl-dns-unbound-anyevent-0.29-r2.apk
2.34KB
2025-06-30 10:14:28
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk
1.94KB
2025-06-30 10:14:28
perl-dns-unbound-doc-0.29-r2.apk
15.40KB
2025-06-30 10:14:28
perl-dns-unbound-ioasync-0.29-r2.apk
2.42KB
2025-06-30 10:14:28
perl-dns-unbound-mojo-0.29-r2.apk
2.65KB
2025-06-30 10:14:28
perl-email-abstract-3.010-r0.apk
7.64KB
2024-10-25 23:07:01
perl-email-abstract-doc-3.010-r0.apk
12.88KB
2024-10-25 23:07:01
perl-email-mime-attachment-stripper-1.317-r5.apk
3.95KB
2024-10-25 23:07:01
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
3.80KB
2024-10-25 23:07:01
perl-email-reply-1.204-r5.apk
6.13KB
2024-10-25 23:07:01
perl-email-reply-doc-1.204-r5.apk
4.79KB
2024-10-25 23:07:01
perl-email-sender-2.601-r0.apk
24.66KB
2025-04-04 11:55:57
perl-email-sender-doc-2.601-r0.apk
42.09KB
2025-04-04 11:55:57
perl-encode-detect-1.01-r1.apk
69.12KB
2025-06-30 10:14:28
perl-encode-detect-doc-1.01-r1.apk
4.84KB
2025-06-30 10:14:28
perl-ev-hiredis-0.07-r3.apk
12.80KB
2025-06-30 10:14:28
perl-ev-hiredis-doc-0.07-r3.apk
4.24KB
2025-06-30 10:14:28
perl-expect-1.38-r0.apk
32.44KB
2025-04-19 22:01:39
perl-expect-doc-1.38-r0.apk
20.29KB
2025-04-19 22:01:39
perl-expect-simple-0.04-r0.apk
5.49KB
2025-04-20 07:38:37
perl-expect-simple-doc-0.04-r0.apk
4.88KB
2025-04-20 07:38:37
perl-extutils-makemaker-7.76-r0.apk
174.21KB
2025-08-16 17:18:51
perl-extutils-xsbuilder-0.28-r5.apk
43.05KB
2024-10-25 23:07:01
perl-extutils-xsbuilder-doc-0.28-r5.apk
21.13KB
2024-10-25 23:07:01
perl-feed-find-0.13-r0.apk
3.83KB
2024-10-25 23:07:01
perl-feed-find-doc-0.13-r0.apk
3.72KB
2024-10-25 23:07:01
perl-ffi-c-0.15-r0.apk
19.85KB
2024-10-25 23:07:01
perl-ffi-c-doc-0.15-r0.apk
28.60KB
2024-10-25 23:07:01
perl-ffi-platypus-2.10-r1.apk
181.67KB
2025-06-30 10:14:28
perl-ffi-platypus-doc-2.10-r1.apk
147.97KB
2025-06-30 10:14:28
perl-ffi-platypus-type-enum-0.06-r0.apk
5.18KB
2024-10-25 23:07:01
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
5.14KB
2024-10-25 23:07:01
perl-file-changenotify-0.31-r0.apk
12.11KB
2025-03-20 18:04:27
perl-file-changenotify-doc-0.31-r0.apk
14.01KB
2025-03-20 18:04:27
perl-file-find-object-0.3.9-r0.apk
9.16KB
2025-07-15 11:22:16
perl-file-find-object-doc-0.3.9-r0.apk
13.21KB
2025-07-15 11:22:16
perl-file-mmagic-xs-0.09008-r5.apk
27.39KB
2025-06-30 10:14:28
perl-file-mmagic-xs-doc-0.09008-r5.apk
4.26KB
2025-06-30 10:14:28
perl-file-rename-2.02-r0.apk
7.52KB
2024-10-25 23:07:01
perl-file-rename-doc-2.02-r0.apk
12.07KB
2024-10-25 23:07:01
perl-file-treecreate-0.0.1-r0.apk
4.02KB
2025-07-15 11:22:16
perl-file-treecreate-doc-0.0.1-r0.apk
4.40KB
2025-07-15 11:22:16
perl-finance-quote-1.66-r0.apk
105.81KB
2025-07-15 11:22:16
perl-finance-quote-doc-1.66-r0.apk
87.63KB
2025-07-15 11:22:16
perl-flowd-0.9.1-r11.apk
22.80KB
2025-06-30 10:14:28
perl-flowd-doc-0.9.1-r11.apk
3.25KB
2025-06-30 10:14:28
perl-freezethaw-0.5001-r3.apk
9.82KB
2025-10-18 13:32:37
perl-freezethaw-doc-0.5001-r3.apk
5.71KB
2025-10-18 13:32:37
perl-full-1.004-r0.apk
7.12KB
2024-10-25 23:07:01
perl-full-doc-1.004-r0.apk
10.30KB
2024-10-25 23:07:01
perl-future-asyncawait-hooks-0.02-r1.apk
7.67KB
2025-06-30 10:14:28
perl-future-asyncawait-hooks-doc-0.02-r1.apk
3.34KB
2025-06-30 10:14:28
perl-future-http-0.17-r0.apk
9.24KB
2024-10-25 23:07:01
perl-future-http-doc-0.17-r0.apk
15.68KB
2024-10-25 23:07:01
perl-future-q-0.120-r0.apk
9.58KB
2024-10-25 23:07:01
perl-future-q-doc-0.120-r0.apk
9.09KB
2024-10-25 23:07:01
perl-future-queue-0.52-r0.apk
4.07KB
2024-10-25 23:07:01
perl-future-queue-doc-0.52-r0.apk
4.25KB
2024-10-25 23:07:01
perl-gearman-2.004.015-r3.apk
27.44KB
2024-10-25 23:07:01
perl-gearman-doc-2.004.015-r3.apk
19.76KB
2024-10-25 23:07:01
perl-getopt-long-descriptive-0.116-r0.apk
14.63KB
2024-12-31 11:39:55
perl-getopt-long-descriptive-doc-0.116-r0.apk
10.99KB
2024-12-31 11:39:55
perl-getopt-tabular-0.3-r4.apk
23.22KB
2024-10-25 23:07:01
perl-getopt-tabular-doc-0.3-r4.apk
16.72KB
2024-10-25 23:07:01
perl-git-raw-0.90-r4.apk
162.54KB
2025-06-30 10:14:28
perl-git-raw-doc-0.90-r4.apk
119.28KB
2025-06-30 10:14:28
perl-git-repository-1.325-r0.apk
16.32KB
2024-10-25 23:07:01
perl-git-repository-doc-1.325-r0.apk
31.48KB
2024-10-25 23:07:01
perl-git-version-compare-1.005-r0.apk
5.38KB
2024-10-25 23:07:01
perl-git-version-compare-doc-1.005-r0.apk
4.84KB
2024-10-25 23:07:01
perl-glib-ex-objectbits-17-r0.apk
15.05KB
2024-10-25 23:07:01
perl-glib-ex-objectbits-doc-17-r0.apk
22.39KB
2024-10-25 23:07:01
perl-graphql-client-0.605-r0.apk
7.12KB
2024-10-25 23:07:01
perl-graphql-client-cli-0.605-r0.apk
7.80KB
2024-10-25 23:07:01
perl-graphql-client-doc-0.605-r0.apk
13.96KB
2024-10-25 23:07:01
perl-gtk2-1.24993-r7.apk
808.06KB
2025-06-30 10:14:28
perl-gtk2-doc-1.24993-r7.apk
678.58KB
2025-06-30 10:14:28
perl-gtk2-ex-listmodelconcat-11-r4.apk
12.74KB
2024-10-25 23:07:01
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
7.28KB
2024-10-25 23:07:01
perl-gtk2-ex-widgetbits-48-r3.apk
65.70KB
2024-10-25 23:07:01
perl-gtk2-ex-widgetbits-doc-48-r3.apk
81.21KB
2024-10-25 23:07:01
perl-guard-1.023-r10.apk
8.15KB
2025-06-30 10:14:28
perl-guard-doc-1.023-r10.apk
5.34KB
2025-06-30 10:14:28
perl-hash-merge-extra-0.06-r0.apk
3.14KB
2025-06-20 18:18:41
perl-hash-merge-extra-doc-0.06-r0.apk
3.37KB
2025-06-20 18:18:41
perl-hash-ordered-0.014-r0.apk
9.77KB
2024-10-25 23:07:01
perl-hash-ordered-doc-0.014-r0.apk
19.22KB
2024-10-25 23:07:01
perl-html-formatexternal-26-r0.apk
17.08KB
2025-06-07 17:55:11
perl-html-formatexternal-doc-26-r0.apk
23.01KB
2025-06-07 17:55:11
perl-html-formhandler-0.40068-r0.apk
135.47KB
2025-05-01 09:34:27
perl-html-formhandler-doc-0.40068-r0.apk
323.23KB
2025-05-01 09:34:27
perl-html-gumbo-0.18-r2.apk
13.87KB
2025-06-30 10:14:28
perl-html-gumbo-doc-0.18-r2.apk
5.43KB
2025-06-30 10:14:28
perl-html-object-0.6.0-r0.apk
342.86KB
2025-10-16 19:25:21
perl-html-object-doc-0.6.0-r0.apk
473.51KB
2025-10-16 19:25:21
perl-html-query-0.09-r0.apk
13.81KB
2025-06-16 14:50:54
perl-html-query-doc-0.09-r0.apk
10.10KB
2025-06-16 14:50:54
perl-html-selector-xpath-0.28-r0.apk
6.19KB
2024-10-25 23:07:01
perl-html-selector-xpath-doc-0.28-r0.apk
4.05KB
2024-10-25 23:07:01
perl-html-tableextract-2.15-r4.apk
17.67KB
2024-10-25 23:07:01
perl-html-tableextract-doc-2.15-r4.apk
9.90KB
2024-10-25 23:07:01
perl-html-treebuilder-xpath-0.14-r0.apk
7.80KB
2025-07-10 05:28:29
perl-html-treebuilder-xpath-doc-0.14-r0.apk
4.21KB
2025-07-10 05:28:29
perl-http-headers-actionpack-0.09-r0.apk
18.00KB
2025-06-13 21:17:29
perl-http-headers-actionpack-doc-0.09-r0.apk
40.30KB
2025-06-13 21:17:29
perl-http-thin-0.006-r0.apk
3.06KB
2024-10-25 23:07:01
perl-http-thin-doc-0.006-r0.apk
3.43KB
2024-10-25 23:07:01
perl-http-xsheaders-0.400005-r2.apk
17.23KB
2025-06-30 10:14:28
perl-http-xsheaders-doc-0.400005-r2.apk
6.36KB
2025-06-30 10:14:28
perl-i18n-langinfo-wide-9-r4.apk
4.20KB
2024-10-25 23:07:01
perl-i18n-langinfo-wide-doc-9-r4.apk
4.07KB
2024-10-25 23:07:01
perl-imager-1.028-r1.apk
496.57KB
2025-06-30 10:14:28
perl-imager-doc-1.028-r1.apk
286.43KB
2025-06-30 10:14:28
perl-indirect-0.39-r2.apk
14.56KB
2025-06-30 10:14:28
perl-indirect-doc-0.39-r2.apk
6.41KB
2025-06-30 10:14:28
perl-io-handle-util-0.02-r0.apk
10.62KB
2025-06-11 19:34:50
perl-io-handle-util-doc-0.02-r0.apk
9.82KB
2025-06-11 19:34:50
perl-io-interactive-1.027-r0.apk
5.31KB
2025-09-01 16:16:07
perl-io-interactive-doc-1.027-r0.apk
5.20KB
2025-09-01 16:16:07
perl-io-lambda-1.34-r0.apk
75.46KB
2024-10-25 23:07:01
perl-io-lambda-doc-1.34-r0.apk
67.95KB
2024-10-25 23:07:01
perl-io-sessiondata-1.03-r3.apk
5.74KB
2024-10-25 23:07:01
perl-json-maybeutf8-2.000-r0.apk
3.10KB
2024-10-25 23:07:01
perl-json-maybeutf8-doc-2.000-r0.apk
3.54KB
2024-10-25 23:07:01
perl-json-validator-5.15-r0.apk
58.15KB
2025-03-19 13:44:56
perl-json-validator-doc-5.15-r0.apk
33.81KB
2025-03-19 13:44:56
perl-lexical-persistence-1.023-r0.apk
7.51KB
2025-04-29 22:46:40
perl-lexical-persistence-doc-1.023-r0.apk
7.57KB
2025-04-29 22:46:40
perl-lib-abs-0.95-r0.apk
3.86KB
2024-10-25 23:07:01
perl-lib-abs-doc-0.95-r0.apk
3.96KB
2024-10-25 23:07:01
perl-libapreq2-2.17-r3.apk
93.23KB
2025-06-30 10:14:28
perl-libapreq2-dev-2.17-r3.apk
54.35KB
2025-06-30 10:14:28
perl-libapreq2-doc-2.17-r3.apk
37.27KB
2025-06-30 10:14:28
perl-libintl-perl-1.35-r0.apk
304.92KB
2025-01-16 15:35:18
perl-libintl-perl-doc-1.35-r0.apk
571.42KB
2025-01-16 15:35:18
perl-lingua-en-findnumber-1.32-r0.apk
3.25KB
2025-06-08 19:14:42
perl-lingua-en-findnumber-doc-1.32-r0.apk
3.48KB
2025-06-08 19:14:42
perl-lingua-en-inflect-number-1.12-r0.apk
3.21KB
2025-06-08 19:14:42
perl-lingua-en-inflect-number-doc-1.12-r0.apk
3.56KB
2025-06-08 19:14:42
perl-lingua-en-inflect-phrase-0.20-r0.apk
5.32KB
2025-06-09 15:06:08
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
3.90KB
2025-06-09 15:06:08
perl-lingua-en-number-isordinal-0.05-r0.apk
3.06KB
2025-06-08 19:14:42
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
3.45KB
2025-06-08 19:14:42
perl-lingua-en-tagger-0.31-r0.apk
545.77KB
2025-06-08 19:14:42
perl-lingua-en-tagger-doc-0.31-r0.apk
4.45KB
2025-06-08 19:14:42
perl-lingua-en-words2nums-0.18-r0.apk
4.60KB
2025-06-07 17:55:33
perl-lingua-en-words2nums-doc-0.18-r0.apk
3.52KB
2025-06-07 17:55:33
perl-lingua-pt-stemmer-0.02-r0.apk
5.43KB
2025-06-07 05:00:14
perl-lingua-pt-stemmer-doc-0.02-r0.apk
4.28KB
2025-06-07 05:00:14
perl-lingua-stem-2.31-r0.apk
12.38KB
2025-06-08 19:14:42
perl-lingua-stem-doc-2.31-r0.apk
33.58KB
2025-06-08 19:14:42
perl-lingua-stem-fr-0.02-r0.apk
6.13KB
2025-06-06 17:57:10
perl-lingua-stem-fr-doc-0.02-r0.apk
3.93KB
2025-06-06 17:57:10
perl-lingua-stem-it-0.02-r0.apk
5.22KB
2025-06-06 17:57:10
perl-lingua-stem-it-doc-0.02-r0.apk
3.54KB
2025-06-06 17:57:10
perl-lingua-stem-ru-0.04-r0.apk
4.12KB
2025-06-06 17:57:10
perl-lingua-stem-ru-doc-0.04-r0.apk
3.74KB
2025-06-06 17:57:10
perl-lingua-stem-snowball-da-1.01-r0.apk
4.33KB
2025-06-08 19:14:42
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
3.03KB
2025-06-08 19:14:42
perl-linux-pid-0.04-r15.apk
4.57KB
2025-06-30 10:14:28
perl-linux-pid-doc-0.04-r15.apk
3.07KB
2025-06-30 10:14:28
perl-list-binarysearch-0.25-r0.apk
9.94KB
2024-10-25 23:07:01
perl-list-binarysearch-doc-0.25-r0.apk
11.52KB
2024-10-25 23:07:01
perl-list-binarysearch-xs-0.09-r2.apk
11.54KB
2025-06-30 10:14:28
perl-list-binarysearch-xs-doc-0.09-r2.apk
8.13KB
2025-06-30 10:14:28
perl-list-keywords-0.11-r1.apk
13.51KB
2025-06-30 10:14:28
perl-list-keywords-doc-0.11-r1.apk
5.57KB
2025-06-30 10:14:28
perl-log-fu-0.31-r4.apk
10.49KB
2024-10-25 23:07:01
perl-log-fu-doc-0.31-r4.apk
7.24KB
2024-10-25 23:07:01
perl-log-message-0.08-r3.apk
10.58KB
2024-10-25 23:07:01
perl-log-message-doc-0.08-r3.apk
12.13KB
2024-10-25 23:07:01
perl-log-message-simple-0.10-r3.apk
4.19KB
2024-10-25 23:07:01
perl-log-message-simple-doc-0.10-r3.apk
3.93KB
2024-10-25 23:07:01
perl-lwp-useragent-cached-0.08-r1.apk
6.36KB
2024-10-25 23:07:01
perl-lwp-useragent-cached-doc-0.08-r1.apk
5.63KB
2024-10-25 23:07:01
perl-mastodon-client-0.017-r0.apk
22.04KB
2024-10-25 23:07:01
perl-mastodon-client-doc-0.017-r0.apk
32.96KB
2024-10-25 23:07:01
perl-math-int64-0.57-r2.apk
28.91KB
2025-06-30 10:14:28
perl-math-int64-doc-0.57-r2.apk
10.53KB
2025-06-30 10:14:28
perl-math-libm-1.00-r15.apk
9.55KB
2025-06-30 10:14:28
perl-math-libm-doc-1.00-r15.apk
3.21KB
2025-06-30 10:14:28
perl-math-random-0.75-r0.apk
34.75KB
2025-10-01 07:55:18
perl-math-random-doc-0.75-r0.apk
11.31KB
2025-10-01 07:55:18
perl-math-random-isaac-xs-1.004-r9.apk
7.23KB
2025-06-30 10:14:28
perl-math-random-isaac-xs-doc-1.004-r9.apk
3.83KB
2025-06-30 10:14:28
perl-mce-1.902-r0.apk
134.46KB
2025-09-10 06:59:17
perl-mce-doc-1.902-r0.apk
171.23KB
2025-09-10 06:59:17
perl-memoize-expirelru-0.56-r0.apk
6.22KB
2025-06-08 19:14:42
perl-memoize-expirelru-doc-0.56-r0.apk
3.84KB
2025-06-08 19:14:42
perl-minion-11.0-r0.apk
1.50MB
2025-08-27 11:14:54
perl-minion-backend-pg-11.0-r0.apk
9.57KB
2025-08-27 11:14:54
perl-minion-backend-redis-0.003-r0.apk
10.48KB
2024-10-25 23:07:01
perl-minion-backend-redis-doc-0.003-r0.apk
6.56KB
2024-10-25 23:07:01
perl-minion-backend-sqlite-5.0.7-r0.apk
10.10KB
2024-10-25 23:07:01
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
6.75KB
2024-10-25 23:07:01
perl-minion-doc-11.0-r0.apk
50.62KB
2025-08-27 11:14:54
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
3.42KB
2024-10-25 23:07:01
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
3.75KB
2024-10-25 23:07:01
perl-module-cpants-analyse-1.02-r0.apk
26.52KB
2025-07-15 11:22:16
perl-module-cpants-analyse-doc-1.02-r0.apk
28.29KB
2025-07-15 11:22:16
perl-module-extract-version-1.119-r0.apk
3.25KB
2025-09-05 09:56:12
perl-module-extract-version-doc-1.119-r0.apk
3.46KB
2025-09-05 09:56:12
perl-module-generic-1.1.3-r0.apk
323.73KB
2025-10-24 20:52:52
perl-module-generic-doc-1.1.3-r0.apk
262.36KB
2025-10-24 20:52:52
perl-module-path-0.19-r0.apk
4.71KB
2025-06-08 19:14:42
perl-module-path-doc-0.19-r0.apk
5.80KB
2025-06-08 19:14:42
perl-mojo-reactor-ioasync-1.002-r0.apk
4.72KB
2024-10-25 23:07:01
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
4.36KB
2024-10-25 23:07:01
perl-mojo-redis-3.29-r0.apk
25.16KB
2024-10-25 23:07:01
perl-mojo-redis-doc-3.29-r0.apk
24.58KB
2024-10-25 23:07:01
perl-mojo-sqlite-3.009-r0.apk
15.93KB
2024-10-25 23:07:01
perl-mojo-sqlite-doc-3.009-r0.apk
19.34KB
2024-10-25 23:07:01
perl-mojolicious-plugin-openapi-5.11-r0.apk
28.37KB
2025-03-19 13:44:56
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
33.46KB
2025-03-19 13:44:56
perl-moosex-configfromfile-0.14-r0.apk
4.09KB
2025-03-16 06:03:24
perl-moosex-configfromfile-doc-0.14-r0.apk
4.15KB
2025-03-16 06:03:24
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
5.44KB
2025-03-16 06:03:24
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
5.35KB
2025-03-16 06:03:24
perl-moosex-getopt-0.78-r0.apk
13.62KB
2025-03-16 06:03:24
perl-moosex-getopt-doc-0.78-r0.apk
24.72KB
2025-03-16 06:03:24
perl-moosex-markasmethods-0.15-r0.apk
5.11KB
2025-06-04 20:22:17
perl-moosex-markasmethods-doc-0.15-r0.apk
5.20KB
2025-06-04 20:22:17
perl-moosex-methodattributes-0.32-r0.apk
8.55KB
2025-03-19 13:44:56
perl-moosex-methodattributes-doc-0.32-r0.apk
22.05KB
2025-03-19 13:44:56
perl-moosex-nonmoose-0.27-r1.apk
8.19KB
2025-06-19 13:55:16
perl-moosex-nonmoose-doc-0.27-r1.apk
9.44KB
2025-06-19 13:55:16
perl-moosex-object-pluggable-0.0014-r0.apk
5.98KB
2025-04-23 00:28:36
perl-moosex-object-pluggable-doc-0.0014-r0.apk
5.50KB
2025-04-23 00:28:36
perl-moosex-relatedclassroles-0.004-r0.apk
2.80KB
2025-05-01 09:34:27
perl-moosex-relatedclassroles-doc-0.004-r0.apk
3.34KB
2025-05-01 09:34:27
perl-moosex-role-parameterized-1.11-r0.apk
7.73KB
2025-03-15 16:08:40
perl-moosex-role-parameterized-doc-1.11-r0.apk
23.50KB
2025-03-15 16:08:40
perl-moosex-simpleconfig-0.11-r0.apk
3.79KB
2025-03-16 06:03:24
perl-moosex-simpleconfig-doc-0.11-r0.apk
4.16KB
2025-03-16 06:03:24
perl-moosex-traits-pluggable-0.12-r0.apk
4.95KB
2025-05-01 09:34:27
perl-moosex-traits-pluggable-doc-0.12-r0.apk
4.21KB
2025-05-01 09:34:27
perl-moosex-types-loadableclass-0.016-r0.apk
3.22KB
2025-04-30 19:21:42
perl-moosex-types-loadableclass-doc-0.016-r0.apk
3.75KB
2025-04-30 19:21:42
perl-moosex-types-path-tiny-0.012-r0.apk
4.00KB
2025-03-15 16:08:40
perl-moosex-types-path-tiny-doc-0.012-r0.apk
4.12KB
2025-03-15 16:08:40
perl-moosex-types-stringlike-0.003-r0.apk
3.05KB
2025-03-15 16:08:40
perl-moosex-types-stringlike-doc-0.003-r0.apk
3.58KB
2025-03-15 16:08:40
perl-moox-typetiny-0.002003-r0.apk
3.55KB
2025-06-09 15:05:49
perl-moox-typetiny-doc-0.002003-r0.apk
3.16KB
2025-06-09 15:05:49
perl-multidimensional-0.014-r1.apk
4.71KB
2025-06-30 10:14:28
perl-multidimensional-doc-0.014-r1.apk
3.16KB
2025-06-30 10:14:28
perl-musicbrainz-discid-0.06-r2.apk
8.86KB
2025-06-30 10:14:28
perl-musicbrainz-discid-doc-0.06-r2.apk
4.36KB
2025-06-30 10:14:28
perl-net-address-ip-local-0.1.2-r0.apk
3.43KB
2024-10-25 23:07:01
perl-net-address-ip-local-doc-0.1.2-r0.apk
3.47KB
2024-10-25 23:07:01
perl-net-amqp-rabbitmq-2.40014-r1.apk
75.78KB
2025-11-21 01:47:45
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
10.81KB
2025-11-21 01:47:45
perl-net-async-redis-6.006-r0.apk
58.44KB
2024-12-11 18:22:06
perl-net-async-redis-doc-6.006-r0.apk
65.90KB
2024-12-11 18:22:06
perl-net-async-redis-xs-1.001-r2.apk
8.57KB
2025-06-30 10:14:28
perl-net-async-redis-xs-doc-1.001-r2.apk
5.40KB
2025-06-30 10:14:28
perl-net-curl-0.57-r1.apk
56.94KB
2025-06-30 10:14:28
perl-net-curl-doc-0.57-r1.apk
39.40KB
2025-06-30 10:14:28
perl-net-curl-promiser-0.20-r0.apk
8.85KB
2024-10-25 23:07:01
perl-net-curl-promiser-anyevent-0.20-r0.apk
2.71KB
2024-10-25 23:07:01
perl-net-curl-promiser-doc-0.20-r0.apk
11.63KB
2024-10-25 23:07:01
perl-net-curl-promiser-ioasync-0.20-r0.apk
2.95KB
2024-10-25 23:07:01
perl-net-curl-promiser-mojo-0.20-r0.apk
3.09KB
2024-10-25 23:07:01
perl-net-dbus-1.2.0-r0.apk
91.55KB
2025-12-13 09:33:28
perl-net-dbus-doc-1.2.0-r0.apk
94.05KB
2025-12-13 09:33:28
perl-net-idn-encode-2.500-r2.apk
83.52KB
2025-06-30 10:14:28
perl-net-idn-encode-doc-2.500-r2.apk
21.82KB
2025-06-30 10:14:28
perl-net-irr-0.10-r0.apk
5.45KB
2024-10-25 23:07:01
perl-net-irr-doc-0.10-r0.apk
5.15KB
2024-10-25 23:07:01
perl-net-netmask-2.0003-r0.apk
13.52KB
2025-05-18 07:10:14
perl-net-netmask-doc-2.0003-r0.apk
8.55KB
2025-05-18 07:10:14
perl-net-patricia-1.24-r0.apk
19.56KB
2025-11-21 00:15:41
perl-net-patricia-doc-1.24-r0.apk
6.29KB
2025-11-21 00:15:41
perl-netaddr-mac-0.98-r1.apk
10.82KB
2024-10-25 23:07:01
perl-netaddr-mac-doc-0.98-r1.apk
7.97KB
2024-10-25 23:07:01
perl-nice-try-1.3.17-r0.apk
27.86KB
2025-07-24 04:27:28
perl-nice-try-doc-1.3.17-r0.apk
12.50KB
2025-07-24 04:27:28
perl-number-format-1.76-r1.apk
15.24KB
2024-10-25 23:07:01
perl-number-format-doc-1.76-r1.apk
9.00KB
2024-10-25 23:07:01
perl-number-tolerant-1.710-r0.apk
14.82KB
2024-10-25 23:07:01
perl-number-tolerant-doc-1.710-r0.apk
25.62KB
2024-10-25 23:07:01
perl-object-array-0.060-r0.apk
5.69KB
2024-10-25 23:07:01
perl-object-array-doc-0.060-r0.apk
6.96KB
2024-10-25 23:07:01
perl-object-pad-fieldattr-checked-0.12-r1.apk
8.00KB
2025-06-30 10:14:28
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk
4.53KB
2025-06-30 10:14:28
perl-object-signature-1.08-r0.apk
3.67KB
2025-04-04 14:56:58
perl-object-signature-doc-1.08-r0.apk
5.41KB
2025-04-04 14:56:58
perl-openapi-client-1.07-r0.apk
8.62KB
2024-10-25 23:07:01
perl-openapi-client-doc-1.07-r0.apk
7.34KB
2024-10-25 23:07:01
perl-opentracing-1.006-r0.apk
17.96KB
2024-10-25 23:07:01
perl-opentracing-doc-1.006-r0.apk
32.70KB
2024-10-25 23:07:01
perl-pango-1.227-r12.apk
73.29KB
2025-06-30 10:14:28
perl-pango-doc-1.227-r12.apk
82.37KB
2025-06-30 10:14:28
perl-parse-distname-0.05-r0.apk
5.47KB
2025-07-15 11:22:16
perl-parse-distname-doc-0.05-r0.apk
4.37KB
2025-07-15 11:22:16
perl-path-dispatcher-1.08-r0.apk
14.22KB
2025-06-09 15:05:49
perl-path-dispatcher-doc-1.08-r0.apk
37.73KB
2025-06-09 15:05:49
perl-path-iter-0.2-r3.apk
5.20KB
2024-10-25 23:07:01
perl-path-iter-doc-0.2-r3.apk
5.13KB
2024-10-25 23:07:01
perl-perlio-locale-0.10-r13.apk
4.34KB
2025-06-30 10:14:28
perl-perlio-locale-doc-0.10-r13.apk
3.11KB
2025-06-30 10:14:28
perl-plack-middleware-expires-0.06-r3.apk
3.85KB
2024-10-25 23:07:01
perl-plack-middleware-expires-doc-0.06-r3.apk
3.33KB
2024-10-25 23:07:01
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
3.08KB
2024-12-26 11:36:36
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
3.07KB
2024-12-26 11:36:36
perl-plack-middleware-methodoverride-0.20-r0.apk
3.64KB
2025-03-19 13:44:56
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
4.04KB
2025-03-19 13:44:56
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2.51KB
2024-12-27 16:02:14
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
3.08KB
2024-12-27 16:02:14
perl-plack-middleware-reverseproxy-0.16-r2.apk
3.14KB
2024-10-25 23:07:01
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
3.03KB
2024-10-25 23:07:01
perl-plack-test-externalserver-0.02-r0.apk
2.81KB
2025-03-19 13:44:56
perl-plack-test-externalserver-doc-0.02-r0.apk
3.13KB
2025-03-19 13:44:56
perl-pod-cpandoc-0.16-r6.apk
4.55KB
2024-10-25 23:07:01
perl-pod-cpandoc-doc-0.16-r6.apk
4.88KB
2024-10-25 23:07:01
perl-pod-tidy-0.10-r1.apk
10.36KB
2024-10-25 23:07:01
perl-pod-tidy-doc-0.10-r1.apk
10.53KB
2024-10-25 23:07:01
perl-ppi-xs-0.910-r2.apk
5.63KB
2025-06-30 10:14:28
perl-ppi-xs-doc-0.910-r2.apk
3.43KB
2025-06-30 10:14:28
perl-prereqscanner-notquitelite-0.9917-r0.apk
41.03KB
2025-07-15 11:22:16
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
49.08KB
2025-07-15 11:22:16
perl-proc-guard-0.07-r4.apk
3.67KB
2024-10-25 23:07:01
perl-proc-guard-doc-0.07-r4.apk
3.52KB
2024-10-25 23:07:01
perl-promise-es6-0.28-r0.apk
10.70KB
2024-10-25 23:07:01
perl-promise-es6-anyevent-0.28-r0.apk
2.49KB
2024-10-25 23:07:01
perl-promise-es6-doc-0.28-r0.apk
12.05KB
2024-10-25 23:07:01
perl-promise-es6-future-0.28-r0.apk
2.28KB
2024-10-25 23:07:01
perl-promise-es6-io-async-0.28-r0.apk
2.94KB
2024-10-25 23:07:01
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2.56KB
2024-10-25 23:07:01
perl-promise-me-0.6.0-r0.apk
27.14KB
2025-08-09 12:47:04
perl-promise-me-doc-0.6.0-r0.apk
12.83KB
2025-08-09 12:47:04
perl-promise-xs-0.20-r2.apk
21.62KB
2025-06-30 10:14:28
perl-promise-xs-doc-0.20-r2.apk
8.76KB
2025-06-30 10:14:28
perl-protocol-database-postgresql-2.001-r0.apk
19.16KB
2024-10-25 23:07:01
perl-protocol-database-postgresql-doc-2.001-r0.apk
35.84KB
2024-10-25 23:07:01
perl-protocol-redis-1.0021-r0.apk
5.62KB
2024-10-25 23:07:01
perl-protocol-redis-doc-1.0021-r0.apk
5.04KB
2024-10-25 23:07:01
perl-protocol-redis-faster-0.003-r0.apk
3.41KB
2024-10-25 23:07:01
perl-protocol-redis-faster-doc-0.003-r0.apk
3.30KB
2024-10-25 23:07:01
perl-ref-util-xs-0.117-r9.apk
8.62KB
2025-06-30 10:14:28
perl-ref-util-xs-doc-0.117-r9.apk
3.47KB
2025-06-30 10:14:28
perl-regexp-trie-0.02-r0.apk
2.98KB
2025-07-15 11:22:16
perl-regexp-trie-doc-0.02-r0.apk
3.31KB
2025-07-15 11:22:16
perl-role-eventemitter-0.003-r0.apk
3.65KB
2024-10-25 23:07:01
perl-role-eventemitter-doc-0.003-r0.apk
3.93KB
2024-10-25 23:07:01
perl-rxperl-6.29.8-r0.apk
26.28KB
2024-10-25 23:07:01
perl-rxperl-anyevent-6.8.1-r0.apk
2.71KB
2024-10-25 23:07:01
perl-rxperl-anyevent-doc-6.8.1-r0.apk
8.94KB
2024-10-25 23:07:01
perl-rxperl-doc-6.29.8-r0.apk
22.58KB
2024-10-25 23:07:01
perl-rxperl-ioasync-6.9.1-r0.apk
2.81KB
2024-10-25 23:07:01
perl-rxperl-ioasync-doc-6.9.1-r0.apk
8.98KB
2024-10-25 23:07:01
perl-rxperl-mojo-6.8.2-r0.apk
2.84KB
2024-10-25 23:07:01
perl-rxperl-mojo-doc-6.8.2-r0.apk
9.06KB
2024-10-25 23:07:01
perl-ryu-4.001-r0.apk
26.06KB
2024-10-25 23:07:01
perl-ryu-async-0.020-r0.apk
7.49KB
2024-10-25 23:07:01
perl-ryu-async-doc-0.020-r0.apk
11.82KB
2024-10-25 23:07:01
perl-ryu-doc-4.001-r0.apk
35.40KB
2024-10-25 23:07:01
perl-scalar-readonly-0.03-r2.apk
5.15KB
2025-06-30 10:14:28
perl-scalar-readonly-doc-0.03-r2.apk
3.43KB
2025-06-30 10:14:28
perl-set-infinite-0.65-r0.apk
22.89KB
2025-07-24 04:27:28
perl-set-infinite-doc-0.65-r0.apk
11.46KB
2025-07-24 04:27:28
perl-shell-config-generate-0.34-r0.apk
7.84KB
2025-06-08 19:14:42
perl-shell-config-generate-doc-0.34-r0.apk
6.83KB
2025-06-08 19:14:42
perl-shell-guess-0.10-r0.apk
5.97KB
2025-06-07 17:55:11
perl-shell-guess-doc-0.10-r0.apk
5.78KB
2025-06-07 17:55:11
perl-signature-attribute-checked-0.06-r1.apk
7.60KB
2025-06-30 10:14:28
perl-signature-attribute-checked-doc-0.06-r1.apk
4.64KB
2025-06-30 10:14:28
perl-smart-comments-1.06-r0.apk
12.28KB
2025-07-07 20:02:25
perl-smart-comments-doc-1.06-r0.apk
8.52KB
2025-07-07 20:02:25
perl-snmp-5.0404-r14.apk
66.96KB
2025-06-30 10:14:28
perl-snmp-doc-5.0404-r14.apk
14.10KB
2025-06-30 10:14:28
perl-snmp-info-3.974000-r0.apk
336.95KB
2025-09-12 07:49:56
perl-snmp-info-doc-3.974000-r0.apk
389.59KB
2025-09-12 07:49:56
perl-snowball-norwegian-1.2-r0.apk
5.27KB
2025-06-08 19:14:42
perl-snowball-norwegian-doc-1.2-r0.apk
3.90KB
2025-06-08 19:14:42
perl-snowball-swedish-1.2-r0.apk
5.24KB
2025-06-08 19:14:42
perl-snowball-swedish-doc-1.2-r0.apk
3.86KB
2025-06-08 19:14:42
perl-soap-lite-1.27-r5.apk
110.20KB
2024-10-25 23:07:01
perl-soap-lite-doc-1.27-r5.apk
90.45KB
2024-10-25 23:07:01
perl-software-license-0.104007-r0.apk
106.62KB
2025-07-08 19:53:33
perl-software-license-doc-0.104007-r0.apk
50.44KB
2025-07-08 19:53:33
perl-sort-naturally-1.03-r4.apk
8.65KB
2024-10-25 23:07:01
perl-sort-naturally-doc-1.03-r4.apk
5.44KB
2024-10-25 23:07:01
perl-sort-versions-1.62-r0.apk
3.72KB
2024-10-25 23:07:01
perl-sort-versions-doc-1.62-r0.apk
4.12KB
2024-10-25 23:07:01
perl-sql-abstract-classic-1.91-r1.apk
29.50KB
2024-10-25 23:07:01
perl-sql-abstract-classic-doc-1.91-r1.apk
20.21KB
2024-10-25 23:07:01
perl-sql-abstract-more-1.44-r0.apk
27.56KB
2025-07-15 11:22:16
perl-sql-abstract-more-doc-1.44-r0.apk
16.67KB
2025-07-15 11:22:16
perl-starman-0.4017-r0.apk
13.41KB
2024-10-25 23:07:01
perl-starman-doc-0.4017-r0.apk
9.98KB
2024-10-25 23:07:01
perl-statistics-basic-1.6611-r0.apk
9.22KB
2024-10-25 23:07:01
perl-statistics-basic-doc-1.6611-r0.apk
49.78KB
2024-10-25 23:07:01
perl-statistics-descriptive-3.0801-r0.apk
30.29KB
2024-10-25 23:07:01
perl-statistics-descriptive-doc-3.0801-r0.apk
37.49KB
2024-10-25 23:07:01
perl-storable-improved-0.1.3-r0.apk
6.68KB
2024-10-25 23:07:01
perl-storable-improved-doc-0.1.3-r0.apk
6.89KB
2024-10-25 23:07:01
perl-string-camelcase-0.04-r2.apk
3.17KB
2024-10-25 23:07:01
perl-string-camelcase-doc-0.04-r2.apk
3.44KB
2024-10-25 23:07:01
perl-string-compare-constanttime-0.321-r7.apk
7.12KB
2025-06-30 10:14:28
perl-string-compare-constanttime-doc-0.321-r7.apk
5.29KB
2025-06-30 10:14:28
perl-string-crc32-2.100-r5.apk
6.75KB
2025-06-30 10:14:28
perl-string-crc32-doc-2.100-r5.apk
3.43KB
2025-06-30 10:14:28
perl-string-escape-2010.002-r0.apk
8.87KB
2025-03-28 18:15:42
perl-string-escape-doc-2010.002-r0.apk
7.83KB
2025-03-28 18:15:42
perl-string-toidentifier-en-0.12-r0.apk
5.44KB
2025-06-09 15:06:08
perl-string-toidentifier-en-doc-0.12-r0.apk
5.58KB
2025-06-09 15:06:08
perl-syntax-keyword-match-0.15-r1.apk
13.27KB
2025-06-30 10:14:28
perl-syntax-keyword-match-doc-0.15-r1.apk
7.84KB
2025-06-30 10:14:28
perl-syntax-operator-equ-0.10-r1.apk
7.76KB
2025-06-30 10:14:28
perl-syntax-operator-equ-doc-0.10-r1.apk
6.57KB
2025-06-30 10:14:28
perl-syntax-operator-in-0.10-r1.apk
9.37KB
2025-06-30 10:14:28
perl-syntax-operator-in-doc-0.10-r1.apk
5.91KB
2025-06-30 10:14:28
perl-sys-virt-11.10.0-r0.apk
206.82KB
2025-12-03 18:05:13
perl-sys-virt-doc-11.10.0-r0.apk
106.48KB
2025-12-03 18:05:13
perl-system-command-1.122-r0.apk
11.81KB
2024-10-25 23:07:01
perl-system-command-doc-1.122-r0.apk
10.17KB
2024-10-25 23:07:01
perl-task-catalyst-4.02-r0.apk
2.95KB
2025-06-15 07:46:48
perl-task-catalyst-doc-4.02-r0.apk
3.68KB
2025-06-15 07:46:48
perl-template-plugin-csv-0.04-r3.apk
2.69KB
2024-10-25 23:07:01
perl-template-plugin-csv-doc-0.04-r3.apk
3.01KB
2024-10-25 23:07:01
perl-template-plugin-number-format-1.06-r4.apk
4.89KB
2024-10-25 23:07:01
perl-template-plugin-number-format-doc-1.06-r4.apk
4.38KB
2024-10-25 23:07:01
perl-template-timer-1.00-r0.apk
3.43KB
2025-04-12 18:51:53
perl-template-timer-doc-1.00-r0.apk
3.66KB
2025-04-12 18:51:53
perl-template-tiny-1.16-r0.apk
5.55KB
2025-07-24 15:01:27
perl-template-tiny-doc-1.16-r0.apk
5.02KB
2025-07-24 15:01:27
perl-term-size-0.211-r5.apk
5.53KB
2025-06-30 10:14:28
perl-term-size-doc-0.211-r5.apk
3.80KB
2025-06-30 10:14:28
perl-term-ui-0.50-r1.apk
9.97KB
2024-10-25 23:07:01
perl-term-ui-doc-0.50-r1.apk
8.48KB
2024-10-25 23:07:01
perl-test-api-0.010-r2.apk
5.07KB
2024-10-25 23:07:01
perl-test-api-doc-0.010-r2.apk
4.23KB
2024-10-25 23:07:01
perl-test-class-tiny-0.03-r0.apk
5.85KB
2024-10-25 23:07:01
perl-test-class-tiny-doc-0.03-r0.apk
5.42KB
2024-10-25 23:07:01
perl-test-describeme-0.004-r0.apk
3.59KB
2024-10-25 23:07:01
perl-test-describeme-doc-0.004-r0.apk
4.18KB
2024-10-25 23:07:01
perl-test-distribution-2.00-r1.apk
7.74KB
2024-10-25 23:07:01
perl-test-distribution-doc-2.00-r1.apk
6.06KB
2024-10-25 23:07:01
perl-test-expander-2.5.1-r0.apk
7.11KB
2024-10-25 23:07:01
perl-test-expander-doc-2.5.1-r0.apk
20.08KB
2024-10-25 23:07:01
perl-test-expect-0.34-r0.apk
3.47KB
2025-04-23 00:28:36
perl-test-expect-doc-0.34-r0.apk
3.57KB
2025-04-23 00:28:36
perl-test-file-1.995-r0.apk
11.41KB
2025-04-19 20:55:29
perl-test-file-doc-1.995-r0.apk
6.79KB
2025-04-19 20:55:29
perl-test-files-0.26-r0.apk
6.71KB
2024-10-25 23:07:01
perl-test-files-doc-0.26-r0.apk
14.58KB
2024-10-25 23:07:01
perl-test-kwalitee-1.28-r0.apk
6.38KB
2025-07-15 11:22:16
perl-test-kwalitee-doc-1.28-r0.apk
6.89KB
2025-07-15 11:22:16
perl-test-lwp-useragent-0.036-r0.apk
9.81KB
2024-10-25 23:07:01
perl-test-lwp-useragent-doc-0.036-r0.apk
8.33KB
2024-10-25 23:07:01
perl-test-memorygrowth-0.05-r0.apk
6.42KB
2024-10-25 23:07:01
perl-test-memorygrowth-doc-0.05-r0.apk
5.29KB
2024-10-25 23:07:01
perl-test-modern-0.013-r3.apk
14.60KB
2024-10-25 23:07:01
perl-test-modern-doc-0.013-r3.apk
9.85KB
2024-10-25 23:07:01
perl-test-perl-critic-1.04-r0.apk
6.83KB
2025-07-15 11:22:16
perl-test-perl-critic-doc-1.04-r0.apk
6.41KB
2025-07-15 11:22:16
perl-test-randomresult-0.001-r0.apk
3.52KB
2024-10-25 23:07:01
perl-test-randomresult-doc-0.001-r0.apk
3.67KB
2024-10-25 23:07:01
perl-test-requires-git-1.008-r0.apk
4.76KB
2024-10-25 23:07:01
perl-test-requires-git-doc-1.008-r0.apk
4.38KB
2024-10-25 23:07:01
perl-test-roo-1.004-r3.apk
11.89KB
2024-10-25 23:07:01
perl-test-roo-doc-1.004-r3.apk
15.45KB
2024-10-25 23:07:01
perl-test-settings-0.003-r0.apk
4.95KB
2024-10-25 23:07:01
perl-test-settings-doc-0.003-r0.apk
6.04KB
2024-10-25 23:07:01
perl-test-trap-0.3.5-r1.apk
19.75KB
2024-10-25 23:07:01
perl-test-trap-doc-0.3.5-r1.apk
19.88KB
2024-10-25 23:07:01
perl-test-unit-0.29-r0.apk
35.51KB
2025-12-10 12:25:09
perl-test-unit-doc-0.29-r0.apk
48.80KB
2025-12-10 12:25:09
perl-test-useallmodules-0.17-r1.apk
3.80KB
2024-10-25 23:07:01
perl-test-useallmodules-doc-0.17-r1.apk
3.85KB
2024-10-25 23:07:01
perl-test-utf8-1.03-r0.apk
5.57KB
2024-11-20 00:34:16
perl-test-utf8-doc-1.03-r0.apk
4.86KB
2024-11-20 00:34:16
perl-test-www-mechanize-1.60-r0.apk
14.81KB
2025-04-13 17:57:03
perl-test-www-mechanize-catalyst-0.62-r0.apk
7.36KB
2025-04-20 07:32:44
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
6.23KB
2025-04-20 07:32:44
perl-test-www-mechanize-doc-1.60-r0.apk
10.08KB
2025-04-13 17:57:03
perl-test2-tools-explain-0.02-r0.apk
3.79KB
2024-10-25 23:07:01
perl-test2-tools-explain-doc-0.02-r0.apk
4.41KB
2024-10-25 23:07:01
perl-text-brew-0.02-r5.apk
4.51KB
2024-10-25 23:07:01
perl-text-brew-doc-0.02-r5.apk
4.12KB
2024-10-25 23:07:01
perl-text-german-0.06-r0.apk
13.09KB
2025-06-07 05:00:14
perl-text-german-doc-0.06-r0.apk
3.03KB
2025-06-07 05:00:14
perl-text-simpletable-2.07-r0.apk
4.46KB
2025-03-19 13:44:56
perl-text-simpletable-doc-2.07-r0.apk
3.45KB
2025-03-19 13:44:56
perl-text-table-any-0.117-r0.apk
8.05KB
2024-10-25 23:07:01
perl-text-table-any-doc-0.117-r0.apk
6.63KB
2024-10-25 23:07:01
perl-text-table-sprintf-0.008-r0.apk
5.34KB
2024-10-25 23:07:01
perl-text-table-sprintf-doc-0.008-r0.apk
5.20KB
2024-10-25 23:07:01
perl-text-worddiff-0.09-r0.apk
10.43KB
2025-06-09 19:26:13
perl-text-worddiff-doc-0.09-r0.apk
13.67KB
2025-06-09 19:26:13
perl-throwable-1.001-r1.apk
6.21KB
2024-10-25 23:07:01
perl-throwable-doc-1.001-r1.apk
7.99KB
2024-10-25 23:07:01
perl-tickit-widget-choice-0.07-r0.apk
3.91KB
2024-10-25 23:07:01
perl-tickit-widget-choice-doc-0.07-r0.apk
3.39KB
2024-10-25 23:07:01
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
4.61KB
2024-10-25 23:07:01
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
3.83KB
2024-10-25 23:07:01
perl-tickit-widget-floatbox-0.11-r0.apk
4.67KB
2024-10-25 23:07:01
perl-tickit-widget-floatbox-doc-0.11-r0.apk
4.13KB
2024-10-25 23:07:01
perl-tickit-widget-menu-0.16-r0.apk
7.16KB
2024-10-25 23:07:01
perl-tickit-widget-menu-doc-0.16-r0.apk
6.89KB
2024-10-25 23:07:01
perl-tickit-widget-scrollbox-0.12-r0.apk
7.98KB
2024-10-25 23:07:01
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
6.52KB
2024-10-25 23:07:01
perl-tie-toobject-0.03-r0.apk
2.60KB
2025-03-19 13:44:56
perl-tie-toobject-doc-0.03-r0.apk
3.17KB
2025-03-19 13:44:56
perl-time-moment-0.46-r0.apk
39.79KB
2025-12-04 19:58:02
perl-time-moment-doc-0.46-r0.apk
35.67KB
2025-12-04 19:58:02
perl-time-moment-role-strptime-0.001-r0.apk
2.75KB
2024-10-25 23:07:01
perl-time-moment-role-strptime-doc-0.001-r0.apk
3.36KB
2024-10-25 23:07:01
perl-time-moment-role-timezone-1.000-r0.apk
3.56KB
2024-10-25 23:07:01
perl-time-moment-role-timezone-doc-1.000-r0.apk
3.98KB
2024-10-25 23:07:01
perl-time-timegm-0.01-r10.apk
6.45KB
2025-06-30 10:14:28
perl-time-timegm-doc-0.01-r10.apk
3.87KB
2025-06-30 10:14:28
perl-tree-simple-visitorfactory-0.16-r0.apk
19.91KB
2025-03-19 13:44:56
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
46.18KB
2025-03-19 13:44:56
perl-types-path-tiny-0.006-r0.apk
3.93KB
2024-10-25 23:07:01
perl-types-path-tiny-doc-0.006-r0.apk
4.07KB
2024-10-25 23:07:01
perl-uri-db-0.23-r0.apk
10.89KB
2025-01-09 07:26:52
perl-uri-db-doc-0.23-r0.apk
8.35KB
2025-01-09 07:26:52
perl-uri-fetch-0.15-r0.apk
7.03KB
2024-10-25 23:07:01
perl-uri-fetch-doc-0.15-r0.apk
7.50KB
2024-10-25 23:07:01
perl-uri-find-20160806-r0.apk
13.62KB
2025-03-23 09:58:39
perl-uri-find-doc-20160806-r0.apk
9.13KB
2025-03-23 09:58:39
perl-uri-nested-0.10-r0.apk
3.99KB
2024-10-25 23:07:01
perl-uri-nested-doc-0.10-r0.apk
3.88KB
2024-10-25 23:07:01
perl-uri-redis-0.02-r0.apk
3.16KB
2024-10-25 23:07:01
perl-uri-redis-doc-0.02-r0.apk
4.55KB
2024-10-25 23:07:01
perl-uri-tcp-2.0.0-r0.apk
2.69KB
2024-10-25 23:07:01
perl-uri-tcp-doc-2.0.0-r0.apk
4.93KB
2024-10-25 23:07:01
perl-uri-ws-0.03-r0.apk
2.34KB
2025-03-19 13:44:56
perl-uri-ws-doc-0.03-r0.apk
4.33KB
2025-03-19 13:44:56
perl-url-encode-0.03-r4.apk
5.13KB
2024-10-25 23:07:01
perl-url-encode-doc-0.03-r4.apk
4.68KB
2024-10-25 23:07:01
perl-variable-disposition-0.005-r0.apk
3.25KB
2024-10-25 23:07:01
perl-variable-disposition-doc-0.005-r0.apk
5.63KB
2024-10-25 23:07:01
perl-wanted-0.1.0-r0.apk
19.04KB
2025-08-09 12:47:04
perl-wanted-doc-0.1.0-r0.apk
10.73KB
2025-08-09 12:47:04
perl-web-machine-0.17-r0.apk
20.28KB
2025-06-13 21:17:29
perl-web-machine-doc-0.17-r0.apk
28.71KB
2025-06-13 21:17:29
perl-web-scraper-0.38-r0.apk
7.53KB
2025-07-15 11:22:16
perl-web-scraper-doc-0.38-r0.apk
8.07KB
2025-07-15 11:22:16
perl-x-tiny-0.22-r0.apk
6.87KB
2024-10-25 23:07:01
perl-x-tiny-doc-0.22-r0.apk
7.59KB
2024-10-25 23:07:01
perl-x11-korgwm-5.0-r0.apk
40.65KB
2025-12-13 09:33:28
perl-x11-korgwm-doc-5.0-r0.apk
11.50KB
2025-12-13 09:33:28
perl-x11-xcb-0.24-r0.apk
160.14KB
2025-12-13 09:33:28
perl-x11-xcb-doc-0.24-r0.apk
13.28KB
2025-12-13 09:33:28
perl-xml-atom-0.43-r0.apk
19.58KB
2024-10-25 23:07:01
perl-xml-atom-doc-0.43-r0.apk
15.89KB
2024-10-25 23:07:01
perl-xml-bare-0.53-r14.apk
27.05KB
2025-06-30 10:14:28
perl-xml-bare-doc-0.53-r14.apk
11.46KB
2025-06-30 10:14:28
perl-xml-descent-1.04-r0.apk
7.46KB
2025-12-13 09:33:28
perl-xml-descent-doc-1.04-r0.apk
7.14KB
2025-12-13 09:33:28
perl-xml-feed-1.0.0-r0.apk
14.31KB
2025-11-17 20:33:35
perl-xml-feed-doc-1.0.0-r0.apk
12.87KB
2025-11-17 20:33:35
perl-xml-parser-style-easytree-0.09-r0.apk
4.97KB
2024-10-25 23:07:01
perl-xml-parser-style-easytree-doc-0.09-r0.apk
5.42KB
2024-10-25 23:07:01
perl-xml-rpc-2.1-r0.apk
5.73KB
2024-10-25 23:07:01
perl-xml-rpc-doc-2.1-r0.apk
4.86KB
2024-10-25 23:07:01
perl-xml-tokeparser-0.05-r0.apk
7.88KB
2025-12-13 09:33:28
perl-xml-tokeparser-doc-0.05-r0.apk
6.90KB
2025-12-13 09:33:28
perl-xml-xpathengine-0.14-r0.apk
21.70KB
2025-07-08 19:54:08
perl-xml-xpathengine-doc-0.14-r0.apk
11.03KB
2025-07-08 19:54:08
perl-xs-object-magic-0.05-r0.apk
9.65KB
2025-12-13 09:33:28
perl-xs-object-magic-doc-0.05-r0.apk
5.33KB
2025-12-13 09:33:28
persistent-cache-cpp-1.0.9-r0.apk
45.01KB
2025-09-08 03:59:26
persistent-cache-cpp-dev-1.0.9-r0.apk
17.84KB
2025-09-08 03:59:26
persistent-cache-cpp-doc-1.0.9-r0.apk
3.20KB
2025-09-08 03:59:26
pest-language-server-0.3.9-r0.apk
1.10MB
2024-10-25 23:07:01
petitboot-1.15-r0.apk
174.59KB
2025-11-15 00:05:00
petitboot-dbg-1.15-r0.apk
582.34KB
2025-11-15 00:05:00
petitboot-doc-1.15-r0.apk
8.05KB
2025-11-15 00:05:00
pfetch-1.9.4-r0.apk
23.26KB
2025-10-21 17:59:56
pfetch-doc-1.9.4-r0.apk
5.72KB
2025-10-21 17:59:56
pgcat-1.2.0-r1.apk
2.58MB
2025-01-01 19:37:44
pgcat-openrc-1.2.0-r1.apk
1.88KB
2025-01-01 19:37:44
phoronix-test-suite-10.8.4-r2.apk
3.95MB
2024-10-25 23:07:02
phoronix-test-suite-bash-completion-10.8.4-r2.apk
1.75KB
2024-10-25 23:07:02
phoronix-test-suite-doc-10.8.4-r2.apk
287.46KB
2024-10-25 23:07:02
phosh-tour-0.50.0-r2.apk
37.95KB
2025-12-15 15:14:52
phosh-tour-lang-0.50.0-r2.apk
32.88KB
2025-12-15 15:14:52
phosh-tour-systemd-0.50.0-r2.apk
1.76KB
2025-12-15 15:14:52
php81-8.1.33-r0.apk
1.71MB
2025-07-03 01:15:48
php81-apache2-8.1.33-r0.apk
1.68MB
2025-07-03 01:15:48
php81-bcmath-8.1.33-r0.apk
14.39KB
2025-07-03 01:15:48
php81-bz2-8.1.33-r0.apk
9.09KB
2025-07-03 01:15:48
php81-calendar-8.1.33-r0.apk
13.33KB
2025-07-03 01:15:48
php81-cgi-8.1.33-r0.apk
1.69MB
2025-07-03 01:15:48
php81-common-8.1.33-r0.apk
25.15KB
2025-07-03 01:15:48
php81-ctype-8.1.33-r0.apk
4.62KB
2025-07-03 01:15:48
php81-curl-8.1.33-r0.apk
35.73KB
2025-07-03 01:15:48
php81-dba-8.1.33-r0.apk
20.47KB
2025-07-03 01:15:48
php81-dev-8.1.33-r0.apk
939.05KB
2025-07-03 01:15:48
php81-doc-8.1.33-r0.apk
68.13KB
2025-07-03 01:15:48
php81-dom-8.1.33-r0.apk
57.09KB
2025-07-03 01:15:48
php81-embed-8.1.33-r0.apk
1.67MB
2025-07-03 01:15:48
php81-enchant-8.1.33-r0.apk
8.04KB
2025-07-03 01:15:48
php81-exif-8.1.33-r0.apk
30.97KB
2025-07-03 01:15:48
php81-ffi-8.1.33-r0.apk
64.21KB
2025-07-03 01:15:48
php81-fileinfo-8.1.33-r0.apk
375.35KB
2025-07-03 01:15:48
php81-fpm-8.1.33-r0.apk
1.76MB
2025-07-03 01:15:48
php81-ftp-8.1.33-r0.apk
21.49KB
2025-07-03 01:15:48
php81-gd-8.1.33-r0.apk
115.13KB
2025-07-03 01:15:48
php81-gettext-8.1.33-r0.apk
5.60KB
2025-07-03 01:15:48
php81-gmp-8.1.33-r0.apk
19.48KB
2025-07-03 01:15:48
php81-iconv-8.1.33-r0.apk
16.40KB
2025-07-03 01:15:48
php81-imap-8.1.33-r0.apk
31.88KB
2025-07-03 01:15:48
php81-intl-8.1.33-r0.apk
132.17KB
2025-07-03 01:15:48
php81-ldap-8.1.33-r0.apk
29.62KB
2025-07-03 01:15:48
php81-litespeed-8.1.33-r0.apk
1.70MB
2025-07-03 01:15:48
php81-mbstring-8.1.33-r0.apk
563.59KB
2025-07-03 01:15:48
php81-mysqli-8.1.33-r0.apk
40.09KB
2025-07-03 01:15:48
php81-mysqlnd-8.1.33-r0.apk
75.06KB
2025-07-03 01:15:48
php81-odbc-8.1.33-r0.apk
22.12KB
2025-07-03 01:15:48
php81-opcache-8.1.33-r0.apk
66.00KB
2025-07-03 01:15:48
php81-openssl-8.1.33-r0.apk
69.16KB
2025-07-03 01:15:48
php81-pcntl-8.1.33-r0.apk
12.70KB
2025-07-03 01:15:48
php81-pdo-8.1.33-r0.apk
38.83KB
2025-07-03 01:15:48
php81-pdo_dblib-8.1.33-r0.apk
11.06KB
2025-07-03 01:15:48
php81-pdo_mysql-8.1.33-r0.apk
12.28KB
2025-07-03 01:15:48
php81-pdo_odbc-8.1.33-r0.apk
11.76KB
2025-07-03 01:15:48
php81-pdo_pgsql-8.1.33-r0.apk
17.65KB
2025-07-03 01:15:48
php81-pdo_sqlite-8.1.33-r0.apk
11.67KB
2025-07-03 01:15:48
php81-pear-8.1.33-r0.apk
337.86KB
2025-07-03 01:15:48
php81-pecl-amqp-2.1.2-r0.apk
59.29KB
2024-10-25 23:07:02
php81-pecl-apcu-5.1.28-r0.apk
53.55KB
2025-12-07 17:54:21
php81-pecl-ast-1.1.3-r0.apk
21.31KB
2025-08-10 20:43:35
php81-pecl-brotli-0.18.3-r0.apk
14.20KB
2025-12-01 04:45:33
php81-pecl-couchbase-4.3.0-r0.apk
4.46MB
2025-06-15 07:01:38
php81-pecl-csv-0.4.3-r0.apk
9.87KB
2025-02-25 17:45:34
php81-pecl-decimal-1.5.0-r1.apk
17.91KB
2024-10-25 23:07:02
php81-pecl-ds-1.6.0-r0.apk
51.35KB
2025-05-15 00:14:13
php81-pecl-event-3.1.4-r0.apk
48.17KB
2024-10-25 23:07:02
php81-pecl-grpc-1.76.0-r0.apk
4.17MB
2025-10-24 22:25:53
php81-pecl-igbinary-3.2.17_rc1-r0.apk
29.11KB
2025-11-27 23:26:50
php81-pecl-imagick-3.8.1-r0.apk
104.98KB
2025-11-28 02:07:52
php81-pecl-imagick-dev-3.8.1-r0.apk
2.29KB
2025-11-28 02:07:52
php81-pecl-immutable_cache-6.1.0-r0.apk
37.48KB
2024-10-25 23:07:02
php81-pecl-jsmin-3.0.0-r0.apk
9.85KB
2024-10-25 23:07:02
php81-pecl-luasandbox-4.1.2-r0.apk
29.40KB
2024-10-25 23:07:02
php81-pecl-lzf-1.7.0-r0.apk
6.84KB
2024-10-25 23:07:02
php81-pecl-mailparse-3.1.9-r0.apk
22.19KB
2025-09-30 15:38:44
php81-pecl-maxminddb-1.13.0-r0.apk
8.19KB
2025-11-21 02:42:34
php81-pecl-mcrypt-1.0.9-r0.apk
15.29KB
2025-08-05 15:42:03
php81-pecl-memcache-8.2-r1.apk
42.37KB
2024-10-25 23:07:02
php81-pecl-memcached-3.4.0-r0.apk
45.89KB
2025-10-13 11:36:55
php81-pecl-mongodb-2.1.4-r0.apk
837.12KB
2025-10-09 01:16:38
php81-pecl-msgpack-3.0.0-r0.apk
27.06KB
2024-10-25 23:07:02
php81-pecl-oauth-2.0.10-r0.apk
35.59KB
2025-10-09 21:37:46
php81-pecl-opentelemetry-1.2.1-r0.apk
12.03KB
2025-10-08 00:03:34
php81-pecl-pcov-1.0.12-r0.apk
9.24KB
2024-12-04 19:17:33
php81-pecl-protobuf-4.32.1-r0.apk
141.90KB
2025-10-01 04:59:33
php81-pecl-psr-1.2.0-r0.apk
17.67KB
2024-10-25 23:07:02
php81-pecl-rdkafka-6.0.5-r0.apk
35.76KB
2024-11-04 14:51:58
php81-pecl-redis-6.3.0-r0.apk
182.65KB
2025-11-07 18:49:17
php81-pecl-smbclient-1.2.0_pre-r0.apk
20.34KB
2024-12-10 21:01:57
php81-pecl-ssh2-1.4.1-r0.apk
27.69KB
2024-10-25 23:07:02
php81-pecl-timezonedb-2025.2-r0.apk
190.66KB
2025-03-27 13:06:39
php81-pecl-uploadprogress-2.0.2-r1.apk
6.76KB
2024-10-25 23:07:02
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
9.92KB
2024-10-25 23:07:02
php81-pecl-uuid-1.3.0-r0.apk
6.46KB
2025-05-15 00:14:13
php81-pecl-xdebug-3.5.0-r0.apk
153.23KB
2025-12-05 00:56:38
php81-pecl-xhprof-2.3.10-r0.apk
12.15KB
2024-10-25 23:07:02
php81-pecl-xhprof-assets-2.3.10-r0.apk
800.61KB
2024-10-25 23:07:02
php81-pecl-xlswriter-1.5.8-r0.apk
219.90KB
2024-11-11 03:44:25
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
33.04KB
2024-10-25 23:07:02
php81-pecl-yaml-2.3.0-r0.apk
18.08KB
2025-11-12 19:55:46
php81-pecl-zephir_parser-1.8.0-r0.apk
65.30KB
2025-09-29 15:34:22
php81-pecl-zstd-0.15.2-r0.apk
16.18KB
2025-09-09 20:40:32
php81-pgsql-8.1.33-r0.apk
42.88KB
2025-07-03 01:15:48
php81-phar-8.1.33-r0.apk
117.86KB
2025-07-03 01:15:48
php81-phpdbg-8.1.33-r0.apk
1.75MB
2025-07-03 01:15:48
php81-posix-8.1.33-r0.apk
10.33KB
2025-07-03 01:15:48
php81-pspell-8.1.33-r0.apk
7.78KB
2025-07-03 01:15:48
php81-session-8.1.33-r0.apk
34.72KB
2025-07-03 01:15:48
php81-shmop-8.1.33-r0.apk
5.80KB
2025-07-03 01:15:48
php81-simplexml-8.1.33-r0.apk
20.68KB
2025-07-03 01:15:48
php81-snmp-8.1.33-r0.apk
19.13KB
2025-07-03 01:15:48
php81-soap-8.1.33-r0.apk
127.94KB
2025-07-03 01:15:48
php81-sockets-8.1.33-r0.apk
34.09KB
2025-07-03 01:15:48
php81-sodium-8.1.33-r0.apk
25.60KB
2025-07-03 01:15:48
php81-sqlite3-8.1.33-r0.apk
19.15KB
2025-07-03 01:15:48
php81-sysvmsg-8.1.33-r0.apk
7.16KB
2025-07-03 01:15:48
php81-sysvsem-8.1.33-r0.apk
5.48KB
2025-07-03 01:15:48
php81-sysvshm-8.1.33-r0.apk
6.33KB
2025-07-03 01:15:48
php81-tideways_xhprof-5.0.4-r1.apk
12.92KB
2024-10-25 23:07:02
php81-tidy-8.1.33-r0.apk
17.79KB
2025-07-03 01:15:48
php81-tokenizer-8.1.33-r0.apk
11.60KB
2025-07-03 01:15:48
php81-xml-8.1.33-r0.apk
17.66KB
2025-07-03 01:15:48
php81-xmlreader-8.1.33-r0.apk
12.32KB
2025-07-03 01:15:48
php81-xmlwriter-8.1.33-r0.apk
11.26KB
2025-07-03 01:15:48
php81-xsl-8.1.33-r0.apk
12.31KB
2025-07-03 01:15:48
php81-zip-8.1.33-r0.apk
24.46KB
2025-07-03 01:15:48
php82-8.2.29-r3.apk
1.76MB
2025-10-24 05:07:44
php82-apache2-8.2.29-r3.apk
1.72MB
2025-10-24 05:07:44
php82-bcmath-8.2.29-r3.apk
14.41KB
2025-10-24 05:07:44
php82-bz2-8.2.29-r3.apk
9.05KB
2025-10-24 05:07:44
php82-calendar-8.2.29-r3.apk
13.00KB
2025-10-24 05:07:44
php82-cgi-8.2.29-r3.apk
1.73MB
2025-10-24 05:07:44
php82-common-8.2.29-r3.apk
25.27KB
2025-10-24 05:07:44
php82-ctype-8.2.29-r3.apk
4.60KB
2025-10-24 05:07:44
php82-curl-8.2.29-r3.apk
38.08KB
2025-10-24 05:07:44
php82-dba-8.2.29-r3.apk
21.03KB
2025-10-24 05:07:44
php82-dbg-8.2.29-r3.apk
42.51MB
2025-10-24 05:07:44
php82-dev-8.2.29-r3.apk
968.72KB
2025-10-24 05:07:44
php82-doc-8.2.29-r3.apk
72.95KB
2025-10-24 05:07:44
php82-dom-8.2.29-r3.apk
58.49KB
2025-10-24 05:07:44
php82-embed-8.2.29-r3.apk
1.72MB
2025-10-24 05:07:44
php82-enchant-8.2.29-r3.apk
8.03KB
2025-10-24 05:07:44
php82-exif-8.2.29-r3.apk
30.95KB
2025-10-24 05:07:44
php82-ffi-8.2.29-r3.apk
65.69KB
2025-10-24 05:07:44
php82-fileinfo-8.2.29-r3.apk
375.52KB
2025-10-24 05:07:44
php82-fpm-8.2.29-r3.apk
1.80MB
2025-10-24 05:07:44
php82-ftp-8.2.29-r3.apk
21.73KB
2025-10-24 05:07:44
php82-gd-8.2.29-r3.apk
115.57KB
2025-10-24 05:07:44
php82-gettext-8.2.29-r3.apk
5.63KB
2025-10-24 05:07:44
php82-gmp-8.2.29-r3.apk
20.08KB
2025-10-24 05:07:44
php82-iconv-8.2.29-r3.apk
16.80KB
2025-10-24 05:07:44
php82-imap-8.2.29-r3.apk
32.11KB
2025-10-24 05:07:44
php82-intl-8.2.29-r3.apk
147.91KB
2025-10-24 05:07:44
php82-ldap-8.2.29-r3.apk
30.02KB
2025-10-24 05:07:44
php82-litespeed-8.2.29-r3.apk
1.74MB
2025-10-24 05:07:44
php82-mbstring-8.2.29-r3.apk
623.07KB
2025-10-24 05:07:44
php82-mysqli-8.2.29-r3.apk
41.26KB
2025-10-24 05:07:44
php82-mysqlnd-8.2.29-r3.apk
75.23KB
2025-10-24 05:07:44
php82-odbc-8.2.29-r3.apk
22.88KB
2025-10-24 05:07:44
php82-opcache-8.2.29-r3.apk
68.88KB
2025-10-24 05:07:44
php82-openssl-8.2.29-r3.apk
70.91KB
2025-10-24 05:07:44
php82-pcntl-8.2.29-r3.apk
14.04KB
2025-10-24 05:07:44
php82-pdlib-1.1.0-r1.apk
489.67KB
2024-10-25 23:07:02
php82-pdo-8.2.29-r3.apk
40.27KB
2025-10-24 05:07:44
php82-pdo_dblib-8.2.29-r3.apk
11.05KB
2025-10-24 05:07:44
php82-pdo_mysql-8.2.29-r3.apk
12.27KB
2025-10-24 05:07:44
php82-pdo_odbc-8.2.29-r3.apk
12.35KB
2025-10-24 05:07:44
php82-pdo_pgsql-8.2.29-r3.apk
17.65KB
2025-10-24 05:07:44
php82-pdo_sqlite-8.2.29-r3.apk
11.64KB
2025-10-24 05:07:44
php82-pear-8.2.29-r3.apk
337.90KB
2025-10-24 05:07:44
php82-pecl-amqp-2.1.2-r0.apk
59.10KB
2025-10-24 05:07:44
php82-pecl-apcu-5.1.28-r0.apk
53.84KB
2025-12-07 17:54:21
php82-pecl-apfd-1.0.3-r0.apk
4.38KB
2024-10-25 23:07:02
php82-pecl-ast-1.1.3-r0.apk
21.43KB
2025-10-24 05:07:44
php82-pecl-brotli-0.18.3-r0.apk
14.22KB
2025-12-01 04:45:33
php82-pecl-couchbase-4.3.0-r0.apk
4.46MB
2025-10-24 04:33:23
php82-pecl-decimal-1.5.0-r1.apk
17.66KB
2025-10-24 05:07:44
php82-pecl-ds-1.6.0-r0.apk
51.31KB
2025-10-24 05:07:44
php82-pecl-event-3.1.4-r0.apk
48.24KB
2025-10-24 05:07:44
php82-pecl-excimer-1.2.5-r0.apk
19.84KB
2025-05-20 18:41:59
php82-pecl-grpc-1.76.0-r0.apk
4.17MB
2025-10-24 22:25:53
php82-pecl-igbinary-3.2.17_rc1-r0.apk
29.26KB
2025-11-27 23:26:50
php82-pecl-imagick-3.8.1-r0.apk
105.08KB
2025-11-28 02:07:52
php82-pecl-imagick-dev-3.8.1-r0.apk
2.30KB
2025-11-28 02:07:52
php82-pecl-immutable_cache-6.1.0-r0.apk
37.71KB
2024-10-25 23:07:02
php82-pecl-jsmin-3.0.0-r0.apk
9.86KB
2024-10-25 23:07:02
php82-pecl-luasandbox-4.1.2-r0.apk
29.29KB
2025-10-24 05:07:44
php82-pecl-lzf-1.7.0-r0.apk
6.82KB
2025-10-24 05:07:44
php82-pecl-mailparse-3.1.9-r0.apk
22.20KB
2025-10-24 05:07:44
php82-pecl-maxminddb-1.13.0-r0.apk
8.20KB
2025-11-21 02:42:34
php82-pecl-mcrypt-1.0.9-r0.apk
15.30KB
2025-10-24 05:07:44
php82-pecl-memcache-8.2-r2.apk
42.51KB
2025-10-24 05:07:44
php82-pecl-memcached-3.4.0-r0.apk
46.14KB
2025-10-24 05:07:44
php82-pecl-mongodb-2.1.4-r0.apk
837.46KB
2025-10-24 05:07:44
php82-pecl-msgpack-3.0.0-r0.apk
27.00KB
2025-10-24 05:07:44
php82-pecl-oauth-2.0.10-r0.apk
35.88KB
2025-10-09 21:37:46
php82-pecl-opentelemetry-1.2.1-r0.apk
12.07KB
2025-10-24 05:07:44
php82-pecl-pcov-1.0.12-r0.apk
9.40KB
2025-10-24 05:07:44
php82-pecl-protobuf-4.32.1-r0.apk
141.92KB
2025-10-24 05:07:44
php82-pecl-psr-1.2.0-r1.apk
17.30KB
2025-10-24 05:07:44
php82-pecl-rdkafka-6.0.5-r0.apk
35.79KB
2025-10-24 05:07:44
php82-pecl-redis-6.3.0-r0.apk
184.54KB
2025-11-07 18:49:17
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
28.64KB
2024-10-25 23:07:02
php82-pecl-smbclient-1.2.0_pre-r0.apk
20.39KB
2025-10-24 05:07:44
php82-pecl-ssh2-1.4.1-r0.apk
27.42KB
2025-10-24 05:07:44
php82-pecl-teds-1.3.0-r0.apk
111.20KB
2024-10-25 23:07:02
php82-pecl-timezonedb-2025.2-r0.apk
190.72KB
2025-10-24 05:07:44
php82-pecl-uploadprogress-2.0.2-r2.apk
6.75KB
2025-10-24 05:07:44
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
9.59KB
2025-10-24 05:07:44
php82-pecl-uuid-1.3.0-r0.apk
6.48KB
2025-10-24 05:07:44
php82-pecl-vips-1.0.13-r0.apk
16.12KB
2025-10-24 05:07:44
php82-pecl-vld-0.19.1-r0.apk
14.88KB
2025-07-24 04:27:28
php82-pecl-xdebug-3.5.0-r0.apk
153.34KB
2025-12-05 00:56:38
php82-pecl-xhprof-2.3.10-r0.apk
12.24KB
2025-10-24 05:07:44
php82-pecl-xhprof-assets-2.3.10-r0.apk
799.88KB
2025-10-24 05:07:44
php82-pecl-xlswriter-1.5.8-r0.apk
219.16KB
2025-10-24 05:07:44
php82-pecl-yaml-2.3.0-r0.apk
18.21KB
2025-11-12 19:55:46
php82-pecl-zephir_parser-1.8.0-r0.apk
65.31KB
2025-09-29 15:34:22
php82-pecl-zstd-0.15.2-r0.apk
16.22KB
2025-10-24 05:07:44
php82-pgsql-8.2.29-r3.apk
43.06KB
2025-10-24 05:07:44
php82-phar-8.2.29-r3.apk
118.07KB
2025-10-24 05:07:44
php82-phpdbg-8.2.29-r3.apk
1.79MB
2025-10-24 05:07:44
php82-posix-8.2.29-r3.apk
10.33KB
2025-10-24 05:07:44
php82-pspell-8.2.29-r3.apk
7.77KB
2025-10-24 05:07:44
php82-session-8.2.29-r3.apk
35.45KB
2025-10-24 05:07:44
php82-shmop-8.2.29-r3.apk
5.78KB
2025-10-24 05:07:44
php82-simplexml-8.2.29-r3.apk
20.73KB
2025-10-24 05:07:44
php82-snappy-0.2.3-r0.apk
5.05KB
2025-04-09 21:19:05
php82-snmp-8.2.29-r3.apk
19.78KB
2025-10-24 05:07:44
php82-soap-8.2.29-r3.apk
129.55KB
2025-10-24 05:07:44
php82-sockets-8.2.29-r3.apk
34.95KB
2025-10-24 05:07:44
php82-sodium-8.2.29-r3.apk
27.04KB
2025-10-24 05:07:44
php82-sqlite3-8.2.29-r3.apk
20.32KB
2025-10-24 05:07:44
php82-sysvmsg-8.2.29-r3.apk
7.45KB
2025-10-24 05:07:44
php82-sysvsem-8.2.29-r3.apk
5.47KB
2025-10-24 05:07:44
php82-sysvshm-8.2.29-r3.apk
6.37KB
2025-10-24 05:07:44
php82-tidy-8.2.29-r3.apk
18.42KB
2025-10-24 05:07:44
php82-tokenizer-8.2.29-r3.apk
11.57KB
2025-10-24 05:07:44
php82-xml-8.2.29-r3.apk
17.80KB
2025-10-24 05:07:44
php82-xmlreader-8.2.29-r3.apk
12.82KB
2025-10-24 05:07:44
php82-xmlwriter-8.2.29-r3.apk
11.25KB
2025-10-24 05:07:44
php82-xsl-8.2.29-r3.apk
12.28KB
2025-10-24 05:07:44
php82-zip-8.2.29-r3.apk
26.39KB
2025-10-24 05:07:44
php83-pecl-apfd-1.0.3-r0.apk
4.38KB
2024-10-25 23:07:02
php83-pecl-eio-3.1.4-r0.apk
27.66KB
2025-07-24 04:27:28
php83-pecl-ev-1.2.2-r0.apk
37.66KB
2025-11-07 19:25:35
php83-pecl-excimer-1.2.5-r0.apk
19.86KB
2025-05-20 18:41:59
php83-pecl-jsmin-3.0.0-r0.apk
9.85KB
2024-10-25 23:07:02
php83-pecl-oauth-2.0.10-r0.apk
35.93KB
2025-10-09 21:37:46
php83-pecl-phpy-1.0.11-r1.apk
38.77KB
2025-05-15 00:14:13
php83-pecl-uv-0.3.0-r0.apk
50.68KB
2024-10-25 23:07:02
php83-pecl-vld-0.19.1-r0.apk
14.89KB
2025-07-24 04:27:28
php83-pecl-zmq-1.1.4-r0.apk
30.43KB
2024-10-25 23:07:02
php84-pecl-csv-0.4.3-r0.apk
9.92KB
2025-02-25 17:45:34
php84-pecl-ev-1.2.2-r0.apk
37.69KB
2025-11-07 19:25:35
php84-pecl-mcrypt-1.0.9-r0.apk
15.28KB
2025-08-05 15:42:03
php84-pecl-oauth-2.0.10-r0.apk
35.96KB
2025-10-09 21:37:46
php84-pecl-phpy-1.0.11-r1.apk
38.78KB
2025-05-15 00:14:13
php84-pecl-solr-2.9.1-r0.apk
88.59KB
2025-11-25 07:10:36
php84-pecl-uv-0.3.0-r0.apk
50.74KB
2024-10-28 14:47:07
php84-pecl-vld-0.19.1-r0.apk
15.17KB
2025-07-24 04:27:28
php84-snappy-0.2.3-r0.apk
5.05KB
2025-04-09 21:19:05
php85-pecl-ev-1.2.2-r0.apk
37.68KB
2025-11-07 19:25:35
php85-pecl-oauth-2.0.10-r0.apk
34.34KB
2025-10-09 21:37:46
php85-pecl-solr-2.9.1-r0.apk
88.70KB
2025-11-25 07:10:36
php85-pecl-vld-0.19.1-r1.apk
15.18KB
2025-09-24 16:21:37
phpactor-2025.10.17.0-r0.apk
3.58MB
2025-11-19 05:57:39
pick-4.0.0-r0.apk
9.88KB
2024-10-25 23:07:03
pick-doc-4.0.0-r0.apk
3.33KB
2024-10-25 23:07:03
pict-rs-0.5.19-r1.apk
6.76MB
2025-10-14 16:46:39
pict-rs-openrc-0.5.19-r1.apk
1.89KB
2025-10-14 16:46:39
pidif-0.1-r1.apk
165.43KB
2024-10-25 23:07:04
piglit-0_git20241106-r1.apk
88.10MB
2025-05-15 00:14:15
pigpio-79-r4.apk
214.37KB
2024-10-25 23:07:05
pigpio-dev-79-r4.apk
90.80KB
2024-10-25 23:07:05
pigpio-doc-79-r4.apk
115.35KB
2024-10-25 23:07:05
pigpio-openrc-79-r4.apk
1.62KB
2024-10-25 23:07:05
pihole-6.2.3-r0.apk
5.56MB
2025-06-20 21:05:22
pihole-bash-completion-6.2.3-r0.apk
2.18KB
2025-06-20 21:05:22
pihole-doc-6.2.3-r0.apk
3.75KB
2025-06-20 21:05:22
pihole-openrc-6.2.3-r0.apk
1.84KB
2025-06-20 21:05:22
pikchr-cmd-1.0.0-r0.apk
40.77KB
2025-10-25 12:13:44
pikchr-cmd-doc-1.0.0-r0.apk
2.24KB
2025-10-25 12:13:44
pimd-3.0_git20220201-r0.apk
83.81KB
2024-10-25 23:07:05
pimd-dense-2.1.0-r0.apk
51.19KB
2024-10-25 23:07:05
pimd-dense-doc-2.1.0-r0.apk
19.68KB
2024-10-25 23:07:05
pimd-dense-openrc-2.1.0-r0.apk
1.85KB
2024-10-25 23:07:05
pimd-doc-3.0_git20220201-r0.apk
34.76KB
2024-10-25 23:07:05
pimd-openrc-3.0_git20220201-r0.apk
1.62KB
2024-10-25 23:07:05
pinentry-bemenu-0.14.0-r1.apk
8.17KB
2025-07-06 03:13:58
piper-phonemize-2023.11.14.4-r9.apk
9.00MB
2025-07-15 11:22:16
piper-phonemize-dev-2023.11.14.4-r9.apk
394.23KB
2025-07-15 11:22:16
piper-phonemize-libs-2023.11.14.4-r9.apk
70.96KB
2025-07-15 11:22:16
piper-tts-2023.11.14.2-r14.apk
174.71KB
2025-07-15 11:22:16
piper-tts-dev-2023.11.14.2-r14.apk
141.02KB
2025-07-15 11:22:16
piping-server-0.18.0-r0.apk
1.32MB
2024-10-25 23:07:05
piping-server-openrc-0.18.0-r0.apk
1.79KB
2024-10-25 23:07:05
pithos-1.6.1-r0.apk
104.46KB
2024-10-25 23:07:05
pithos-doc-1.6.1-r0.apk
2.09KB
2024-10-25 23:07:05
pithos-pyc-1.6.1-r0.apk
154.29KB
2024-10-25 23:07:05
pitivi-2023.03-r2.apk
2.68MB
2024-12-23 00:06:39
pitivi-lang-2023.03-r2.apk
678.27KB
2024-12-23 00:06:39
pitivi-pyc-2023.03-r2.apk
700.16KB
2024-12-23 00:06:39
pixiewps-1.4.2-r2.apk
49.96KB
2025-05-15 00:14:15
pixiewps-doc-1.4.2-r2.apk
3.40KB
2025-05-15 00:14:15
plakar-1.0.6-r1.apk
18.88MB
2025-12-04 15:54:25
plakar-doc-1.0.6-r1.apk
2.08KB
2025-12-04 15:54:25
planarity-4.0.1.0-r0.apk
22.73KB
2025-10-19 08:35:43
planarity-dev-4.0.1.0-r0.apk
23.11KB
2025-10-19 08:35:43
planarity-doc-4.0.1.0-r0.apk
13.10KB
2025-10-19 08:35:43
planarity-libs-4.0.1.0-r0.apk
62.53KB
2025-10-19 08:35:43
planner-0.14.92-r1.apk
332.47KB
2024-12-08 23:40:19
planner-doc-0.14.92-r1.apk
2.15KB
2024-12-08 23:40:19
planner-lang-0.14.92-r1.apk
824.58KB
2024-12-08 23:40:19
please-0.5.6-r0.apk
1.01MB
2025-08-29 11:10:12
please-doc-0.5.6-r0.apk
16.06KB
2025-08-29 11:10:12
plfit-1.0.1-r0.apk
37.52KB
2025-01-04 05:47:43
plfit-dev-1.0.1-r0.apk
6.48KB
2025-01-04 05:47:43
plfit-libs-1.0.1-r0.apk
27.26KB
2025-01-04 05:47:43
plfit-static-1.0.1-r0.apk
31.06KB
2025-01-04 05:47:43
plib-1.8.5-r3.apk
870.43KB
2024-10-25 23:07:05
plots-0.7.0-r1.apk
515.72KB
2024-11-06 11:42:12
plplot-5.15.0-r2.apk
31.35KB
2024-10-25 23:07:05
plplot-dev-5.15.0-r2.apk
59.00KB
2024-10-25 23:07:05
plplot-doc-5.15.0-r2.apk
310.58KB
2024-10-25 23:07:05
plplot-libs-5.15.0-r2.apk
189.59KB
2024-10-25 23:07:05
pmccabe-2.8-r1.apk
25.30KB
2024-10-25 23:07:05
pmccabe-doc-2.8-r1.apk
7.15KB
2024-10-25 23:07:05
pneink-theme-1.3-r0.apk
9.85KB
2025-07-30 03:20:40
pneink-theme-doc-1.3-r0.apk
1.65KB
2025-07-30 03:20:40
pnmixer-0.7.2-r3.apk
139.24KB
2024-10-25 23:07:05
pnmixer-doc-0.7.2-r3.apk
2.28KB
2024-10-25 23:07:05
pnmixer-lang-0.7.2-r3.apk
24.78KB
2024-10-25 23:07:05
pokoy-0.2.5-r0.apk
8.72KB
2024-10-25 23:07:05
pokoy-doc-0.2.5-r0.apk
2.99KB
2024-10-25 23:07:05
policycoreutils-3.6-r1.apk
52.82KB
2024-10-25 23:07:05
policycoreutils-bash-completion-3.6-r1.apk
2.42KB
2024-10-25 23:07:05
policycoreutils-doc-3.6-r1.apk
22.32KB
2024-10-25 23:07:05
policycoreutils-lang-3.6-r1.apk
105.39KB
2024-10-25 23:07:05
pomo-0.8.1-r28.apk
1.64MB
2025-12-04 15:54:25
pomo-doc-0.8.1-r28.apk
2.74KB
2025-12-04 15:54:25
pongoos-loader-0_git20210704-r1.apk
2.37KB
2024-10-25 23:07:05
pop-cursor-theme-3.5.1-r0.apk
12.82MB
2025-03-25 09:55:05
pop-icon-theme-3.5.1-r0.apk
1.27MB
2025-03-25 09:55:05
popeye-0.22.1-r9.apk
27.36MB
2025-12-04 15:54:26
porla-0.41.0-r2.apk
3.25MB
2025-02-24 16:56:16
porla-doc-0.41.0-r2.apk
2.19KB
2025-02-24 16:56:16
porla-openrc-0.41.0-r2.apk
2.69KB
2025-02-24 16:56:16
portsmf-239-r2.apk
52.27KB
2025-10-13 23:02:16
portsmf-dev-239-r2.apk
20.00KB
2025-10-13 23:02:16
postgresql-pg_later-0.0.14-r1.apk
635.02KB
2024-10-25 23:07:06
postgresql-pg_variables-1.2.5_git20230922-r1.apk
21.70KB
2025-11-03 18:12:02
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
46.52KB
2025-11-03 18:12:02
postgresql-pgmq-1.1.1-r1.apk
272.49KB
2024-10-25 23:07:06
postgresql16-wal2json-2.6-r0.apk
69.51KB
2024-10-25 23:07:06
pounce-3.1-r4.apk
28.15KB
2025-09-13 04:00:11
pounce-doc-3.1-r4.apk
8.54KB
2025-09-13 04:00:11
pounce-openrc-3.1-r4.apk
2.75KB
2025-09-13 04:00:11
powder-toy-97.0.352-r1.apk
814.48KB
2024-10-25 23:07:06
powerstat-0.04.01-r0.apk
20.27KB
2024-10-25 23:07:06
powerstat-bash-completion-0.04.01-r0.apk
2.27KB
2024-10-25 23:07:06
powerstat-doc-0.04.01-r0.apk
4.24KB
2024-10-25 23:07:06
pptpclient-1.10.0-r6.apk
33.31KB
2025-06-30 10:14:28
pptpclient-doc-1.10.0-r6.apk
7.21KB
2025-06-30 10:14:28
pqiv-2.12-r1.apk
63.37KB
2024-10-25 23:07:06
pqiv-doc-2.12-r1.apk
12.03KB
2024-10-25 23:07:06
predict-2.3.1-r0.apk
90.69KB
2024-11-23 20:59:20
predict-doc-2.3.1-r0.apk
16.09KB
2024-11-23 20:59:20
primecount-7.20-r0.apk
28.50KB
2025-11-25 21:03:07
primecount-dev-7.20-r0.apk
1.88MB
2025-11-25 21:03:07
primecount-doc-7.20-r0.apk
4.21KB
2025-11-25 21:03:07
primecount-libs-7.20-r0.apk
129.86KB
2025-11-25 21:03:07
primesieve-12.10-r0.apk
43.79KB
2025-11-25 21:03:07
primesieve-dev-12.10-r0.apk
1.30MB
2025-11-25 21:03:07
primesieve-doc-12.10-r0.apk
4.07KB
2025-11-25 21:03:07
primesieve-libs-12.10-r0.apk
119.59KB
2025-11-25 21:03:07
prjtrellis-1.4-r2.apk
1.18MB
2024-10-25 23:07:06
prjtrellis-db-0_git20230929-r0.apk
3.28KB
2024-10-25 23:07:06
prjtrellis-db-ecp5-0_git20230929-r0.apk
2.13MB
2024-10-25 23:07:06
prjtrellis-db-machxo-0_git20230929-r0.apk
39.18KB
2024-10-25 23:07:06
prjtrellis-db-machxo2-0_git20230929-r0.apk
0.99MB
2024-10-25 23:07:06
prjtrellis-db-machxo3-0_git20230929-r0.apk
1.07MB
2024-10-25 23:07:06
prjtrellis-db-machxo3d-0_git20230929-r0.apk
747.72KB
2024-10-25 23:07:06
projectm-3.1.12-r2.apk
423.00KB
2024-10-25 23:07:06
projectm-dev-3.1.12-r2.apk
662.29KB
2024-10-25 23:07:06
projectm-presets-3.1.12-r2.apk
4.35MB
2024-10-25 23:07:06
projectm-pulseaudio-3.1.12-r2.apk
394.84KB
2024-10-25 23:07:06
projectm-pulseaudio-doc-3.1.12-r2.apk
2.00KB
2024-10-25 23:07:06
projectm-sdl-3.1.12-r2.apk
308.04KB
2024-10-25 23:07:06
projectsandcastle-loader-0_git20200307-r1.apk
5.12KB
2024-10-25 23:07:06
prometheus-fastly-exporter-10.1.0-r0.apk
4.75MB
2025-12-12 16:58:18
prometheus-opnsense-exporter-0.0.11-r2.apk
4.77MB
2025-12-04 15:54:26
prometheus-opnsense-exporter-openrc-0.0.11-r2.apk
2.10KB
2025-12-04 15:54:26
prometheus-podman-exporter-1.18.1-r2.apk
14.61MB
2025-12-04 15:54:26
prometheus-rethinkdb-exporter-1.0.1-r33.apk
4.18MB
2025-12-04 15:54:26
prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk
1.67KB
2025-12-04 15:54:26
prometheus-smartctl-exporter-0.14.0-r5.apk
4.64MB
2025-12-04 15:54:26
prometheus-smartctl-exporter-openrc-0.14.0-r5.apk
1.90KB
2025-12-04 15:54:26
prometheus-smtp2go-exporter-0.1.1-r4.apk
3.44MB
2025-12-04 15:54:26
prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk
1.98KB
2025-12-04 15:54:26
prometheus-unbound-exporter-0.4.6-r5.apk
3.63MB
2025-05-15 00:14:17
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
1.96KB
2025-05-15 00:14:17
proot-5.4.0-r1.apk
67.09KB
2024-10-25 23:07:07
proot-doc-5.4.0-r1.apk
10.30KB
2024-10-25 23:07:07
proot-static-5.4.0-r1.apk
105.51KB
2024-10-25 23:07:07
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2.81KB
2024-10-25 23:07:07
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
1.81KB
2024-10-25 23:07:07
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2.64KB
2024-10-25 23:07:07
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
1.76KB
2024-10-25 23:07:07
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
3.11KB
2024-10-25 23:07:07
prosody-mod-broadcast-0.11_hg20201208-r0.apk
1.85KB
2024-10-25 23:07:07
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 23:07:07
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
7.21KB
2024-10-25 23:07:07
prosody-mod-conversejs-0.11_hg20201208-r0.apk
3.27KB
2024-10-25 23:07:07
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2.83KB
2024-10-25 23:07:07
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2.89KB
2024-10-25 23:07:07
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
1.95KB
2024-10-25 23:07:07
prosody-mod-log_auth-0.11_hg20201208-r0.apk
1.77KB
2024-10-25 23:07:07
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2.18KB
2024-10-25 23:07:07
prosody-mod-mam-0.11_hg20201208-r0.apk
5.88KB
2024-10-25 23:07:07
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
5.55KB
2024-10-25 23:07:07
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
6.86KB
2024-10-25 23:07:07
prosody-mod-pastebin-0.11_hg20201208-r0.apk
3.72KB
2024-10-25 23:07:07
prosody-mod-register_json-0.11_hg20201208-r0.apk
103.50KB
2024-10-25 23:07:07
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2.71KB
2024-10-25 23:07:07
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 23:07:07
prosody-mod-require_otr-0.11_hg20201208-r0.apk
1.71KB
2024-10-25 23:07:07
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2.01KB
2024-10-25 23:07:07
prosody-mod-saslname-0.11_hg20201208-r0.apk
1.61KB
2024-10-25 23:07:07
prosody-mod-server_status-0.11_hg20201208-r0.apk
2.77KB
2024-10-25 23:07:07
prosody-mod-smacks-0.11_hg20201208-r0.apk
8.58KB
2024-10-25 23:07:07
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2.04KB
2024-10-25 23:07:07
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2.02KB
2024-10-25 23:07:07
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2.66KB
2024-10-25 23:07:07
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2.63KB
2024-10-25 23:07:07
prosody-modules-0.11_hg20201208-r0.apk
1.45KB
2024-10-25 23:07:07
protoc-gen-go-1.36.10-r1.apk
2.25MB
2025-12-04 15:54:26
protoconf-0.1.7-r18.apk
7.46MB
2025-12-04 15:54:27
psftools-1.1.2-r0.apk
197.56KB
2024-10-25 23:07:07
psftools-dev-1.1.2-r0.apk
42.17KB
2024-10-25 23:07:07
psftools-doc-1.1.2-r0.apk
59.64KB
2024-10-25 23:07:07
psi-notify-1.3.1-r0.apk
11.55KB
2024-10-25 23:07:07
pspp-2.0.1-r1.apk
9.23MB
2025-10-08 00:03:35
pspp-dbg-2.0.1-r1.apk
4.30MB
2025-10-08 00:03:35
pspp-doc-2.0.1-r1.apk
8.99KB
2025-10-08 00:03:35
psst-0_git20240526-r1.apk
7.53MB
2024-10-25 23:07:08
ptouch-print-1.7-r0.apk
26.63KB
2025-09-13 16:07:25
ptouch-print-doc-1.7-r0.apk
3.13KB
2025-09-13 16:07:25
ptpd-2.3.1-r1.apk
184.37KB
2024-10-25 23:07:08
ptpd-doc-2.3.1-r1.apk
20.28KB
2024-10-25 23:07:08
ptpd-openrc-2.3.1-r1.apk
2.39KB
2024-10-25 23:07:08
ptylie-0.2-r2.apk
10.79KB
2025-05-15 00:14:17
ptylie-doc-0.2-r2.apk
3.16KB
2025-05-15 00:14:17
pug-0.6.5-r0.apk
3.46MB
2025-12-04 15:54:27
pully-1.0.0-r0.apk
2.52KB
2024-10-25 23:07:08
pully-openrc-1.0.0-r0.apk
1.72KB
2024-10-25 23:07:08
pulsar-client-cpp-3.7.1-r0.apk
1.23MB
2025-06-12 15:08:50
pulsar-client-cpp-dev-3.7.1-r0.apk
64.45KB
2025-06-12 15:08:50
pulseview-0.4.2-r8.apk
869.88KB
2024-10-25 23:07:08
pulseview-doc-0.4.2-r8.apk
3.66KB
2024-10-25 23:07:08
punch-0.1.1-r0.apk
29.57KB
2025-12-10 12:25:09
punch-pyc-0.1.1-r0.apk
30.53KB
2025-12-10 12:25:09
pure-1.23.0-r0.apk
18.32KB
2025-10-20 16:57:21
pure-doc-1.23.0-r0.apk
7.91KB
2025-10-20 16:57:21
purple-facebook-0.9.6-r0.apk
69.33KB
2024-10-25 23:07:08
purple-hangouts-0_git20200422-r0.apk
194.33KB
2024-10-25 23:07:08
pw-volume-0.5.0-r1.apk
324.50KB
2024-10-25 23:07:08
pwauth-2.3.11-r2.apk
4.16KB
2024-10-25 23:07:08
pwauth-doc-2.3.11-r2.apk
6.80KB
2024-10-25 23:07:08
pxalarm-3.0.0-r0.apk
2.88KB
2024-10-25 23:07:08
pxmenu-1.0.0-r1.apk
2.88KB
2024-10-25 23:07:08
py3-actdiag-3.0.0-r5.apk
17.27KB
2024-10-25 23:07:08
py3-actdiag-pyc-3.0.0-r5.apk
21.49KB
2024-10-25 23:07:08
py3-aesedb-0.1.8-r0.apk
34.48KB
2025-11-03 08:49:08
py3-aesedb-examples-0.1.8-r0.apk
3.42KB
2025-11-03 08:49:08
py3-aesedb-pyc-0.1.8-r0.apk
74.96KB
2025-11-03 08:49:08
py3-agithub-2.2.2-r7.apk
18.84KB
2025-03-19 13:44:58
py3-agithub-pyc-2.2.2-r7.apk
21.28KB
2025-03-19 13:44:58
py3-aiodocker-0.21.0-r1.apk
29.32KB
2024-10-25 23:07:08
py3-aiodocker-pyc-0.21.0-r1.apk
60.38KB
2024-10-25 23:07:08
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
445.92KB
2024-10-25 23:07:08
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
51.19KB
2024-10-25 23:07:08
py3-aiohttp-jinja2-1.6-r2.apk
12.38KB
2024-10-25 23:07:08
py3-aiohttp-jinja2-pyc-1.6-r2.apk
9.23KB
2024-10-25 23:07:08
py3-aiohttp-remotes-1.3.0-r0.apk
10.02KB
2024-11-04 14:28:06
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
18.86KB
2024-11-04 14:28:06
py3-aiohttp-session-2.12.1-r0.apk
10.43KB
2024-10-25 23:07:08
py3-aiohttp-session-pyc-2.12.1-r0.apk
14.79KB
2024-10-25 23:07:08
py3-aioopenssl-0.6.0-r4.apk
20.82KB
2024-10-25 23:07:08
py3-aioopenssl-pyc-0.6.0-r4.apk
19.13KB
2024-10-25 23:07:08
py3-aiosasl-0.5.0-r4.apk
29.58KB
2024-10-25 23:07:08
py3-aiosasl-doc-0.5.0-r4.apk
16.46KB
2024-10-25 23:07:08
py3-aiosasl-pyc-0.5.0-r4.apk
23.93KB
2024-10-25 23:07:08
py3-aiosmb-0.4.14-r0.apk
585.43KB
2025-11-03 08:49:08
py3-aiosmb-examples-0.4.14-r0.apk
37.53KB
2025-11-03 08:49:08
py3-aiosmb-pyc-0.4.14-r0.apk
1.16MB
2025-11-03 08:49:08
py3-aiowinreg-0.0.13-r0.apk
21.72KB
2025-11-03 08:49:08
py3-aiowinreg-pyc-0.0.13-r0.apk
44.00KB
2025-11-03 08:49:08
py3-aioxmpp-0.13.3-r3.apk
387.68KB
2024-10-25 23:07:08
py3-aioxmpp-doc-0.13.3-r3.apk
18.34KB
2024-10-25 23:07:08
py3-aioxmpp-pyc-0.13.3-r3.apk
673.12KB
2024-10-25 23:07:08
py3-allfiles-1.0-r8.apk
3.57KB
2024-10-25 23:07:08
py3-allfiles-pyc-1.0-r8.apk
3.27KB
2024-10-25 23:07:08
py3-altgraph-0.17.4-r1.apk
20.73KB
2024-10-25 23:07:08
py3-altgraph-pyc-0.17.4-r1.apk
29.13KB
2024-10-25 23:07:08
py3-ansible-pylibssh-1.2.2-r0.apk
242.72KB
2025-07-24 04:27:28
py3-anyascii-0.3.2-r1.apk
274.73KB
2024-10-25 23:07:08
py3-anyascii-pyc-0.3.2-r1.apk
3.33KB
2024-10-25 23:07:08
py3-apicula-0.11.1-r1.apk
8.48MB
2024-10-25 23:07:08
py3-apicula-pyc-0.11.1-r1.apk
179.48KB
2024-10-25 23:07:08
py3-apio-0.9.5-r0.apk
72.36KB
2024-10-25 23:07:08
py3-apio-pyc-0.9.5-r0.apk
77.20KB
2024-10-25 23:07:08
py3-apsw-3.51.1.0-r0.apk
929.34KB
2025-11-30 20:51:16
py3-apsw-pyc-3.51.1.0-r0.apk
585.98KB
2025-11-30 20:51:16
py3-apt-3.0.0-r0.apk
168.27KB
2025-12-10 12:25:09
py3-apt-lang-3.0.0-r0.apk
79.53KB
2025-12-10 12:25:09
py3-apt-pyc-3.0.0-r0.apk
118.99KB
2025-12-10 12:25:09
py3-arcus-5.3.0-r5.apk
73.91KB
2025-06-12 15:08:50
py3-asif-0.3.2-r3.apk
13.34KB
2024-10-25 23:07:08
py3-asif-pyc-0.3.2-r3.apk
25.88KB
2024-10-25 23:07:08
py3-ask-0.0.8-r8.apk
5.00KB
2024-10-25 23:07:08
py3-ask-pyc-0.0.8-r8.apk
4.48KB
2024-10-25 23:07:08
py3-astral-3.2-r3.apk
37.03KB
2024-10-25 23:07:08
py3-astral-pyc-3.2-r3.apk
58.92KB
2024-10-25 23:07:08
py3-asyauth-0.0.23-r0.apk
84.10KB
2025-11-03 08:49:08
py3-asyauth-pyc-0.0.23-r0.apk
185.72KB
2025-11-03 08:49:08
py3-asysocks-0.2.18-r0.apk
88.31KB
2025-11-03 08:49:08
py3-asysocks-examples-0.2.18-r0.apk
35.65KB
2025-11-03 08:49:08
py3-asysocks-pyc-0.2.18-r0.apk
319.51KB
2025-11-03 08:49:08
py3-avro-1.11.3-r1.apk
97.67KB
2024-10-25 23:07:08
py3-avro-pyc-1.11.3-r1.apk
191.36KB
2024-10-25 23:07:08
py3-b2sdk-2.8.1-r0.apk
214.91KB
2025-05-03 11:44:11
py3-b2sdk-pyc-2.8.1-r0.apk
402.64KB
2025-05-03 11:44:11
py3-banal-1.0.6-r4.apk
6.88KB
2024-10-25 23:07:08
py3-banal-pyc-1.0.6-r4.apk
7.21KB
2024-10-25 23:07:08
py3-bandwidth-sdk-3.1.0-r8.apk
46.00KB
2024-10-25 23:07:08
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
69.20KB
2024-10-25 23:07:08
py3-barcodenumber-0.2.1-r10.apk
16.33KB
2024-10-25 23:07:08
py3-barcodenumber-pyc-0.2.1-r10.apk
4.26KB
2024-10-25 23:07:08
py3-beartype-0.22.9-r0.apk
1.02MB
2025-12-15 20:33:33
py3-beartype-pyc-0.22.9-r0.apk
744.24KB
2025-12-15 20:33:33
py3-bencode-4.0.0-r1.apk
17.09KB
2024-10-25 23:07:08
py3-bencode-pyc-4.0.0-r1.apk
10.46KB
2024-10-25 23:07:08
py3-bibtexparser-1.4.3-r0.apk
40.24KB
2025-01-25 09:04:09
py3-bibtexparser-pyc-1.4.3-r0.apk
48.78KB
2025-01-25 09:04:09
py3-bidict-0.23.1-r1.apk
27.72KB
2024-10-25 23:07:08
py3-bidict-pyc-0.23.1-r1.apk
28.76KB
2024-10-25 23:07:08
py3-bite-parser-0.2.5-r0.apk
13.64KB
2024-10-28 23:51:26
py3-bite-parser-pyc-0.2.5-r0.apk
23.49KB
2024-10-28 23:51:26
py3-bitstruct-8.19.0-r1.apk
33.77KB
2024-10-25 23:07:08
py3-bitstruct-pyc-8.19.0-r1.apk
12.78KB
2024-10-25 23:07:08
py3-bleak-0.22.3-r0.apk
369.81KB
2024-10-25 23:07:08
py3-blockchain-1.4.4-r7.apk
10.97KB
2024-10-25 23:07:08
py3-blockchain-pyc-1.4.4-r7.apk
17.86KB
2024-10-25 23:07:08
py3-blockdiag-3.0.0-r6.apk
68.02KB
2025-01-10 14:11:14
py3-blockdiag-pyc-3.0.0-r6.apk
148.83KB
2025-01-10 14:11:14
py3-blockdiag-tests-3.0.0-r6.apk
2.51MB
2025-01-10 14:11:14
py3-bookkeeper-4.17.2-r0.apk
43.01KB
2025-07-15 11:22:16
py3-bookkeeper-pyc-4.17.2-r0.apk
66.69KB
2025-07-15 11:22:16
py3-bottle-api-0.0.4-r7.apk
4.87KB
2024-10-25 23:07:08
py3-bottle-api-pyc-0.0.4-r7.apk
5.21KB
2024-10-25 23:07:08
py3-bottle-pgsql-0.2-r5.apk
4.27KB
2024-10-25 23:07:08
py3-bottle-redis-0.2.3-r6.apk
3.30KB
2024-10-25 23:07:08
py3-bottle-redis-pyc-0.2.3-r6.apk
3.13KB
2024-10-25 23:07:08
py3-bottle-renderer-0.1.1-r9.apk
3.98KB
2024-10-25 23:07:08
py3-bottle-renderer-pyc-0.1.1-r9.apk
3.74KB
2024-10-25 23:07:08
py3-bottle-request-0.2.0-r9.apk
3.21KB
2024-10-25 23:07:08
py3-bottle-request-pyc-0.2.0-r9.apk
2.60KB
2024-10-25 23:07:08
py3-bottle-rest-0.6.0-r1.apk
6.11KB
2024-10-25 23:07:08
py3-bottle-rest-pyc-0.6.0-r1.apk
5.17KB
2024-10-25 23:07:08
py3-bottle-session-1.0-r6.apk
10.20KB
2024-10-25 23:07:08
py3-bottle-session-pyc-1.0-r6.apk
7.80KB
2024-10-25 23:07:08
py3-bottle-sqlalchemy-0.4.3-r8.apk
4.85KB
2024-10-25 23:07:08
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
5.63KB
2024-10-25 23:07:08
py3-bottle-sqlite-0.2.0-r7.apk
4.70KB
2024-10-25 23:07:08
py3-bottle-sqlite-pyc-0.2.0-r7.apk
5.24KB
2024-10-25 23:07:08
py3-bottle-websocket-0.2.9-r8.apk
4.64KB
2024-10-25 23:07:08
py3-bottle-websocket-pyc-0.2.9-r8.apk
3.14KB
2024-10-25 23:07:08
py3-bottle-werkzeug-0.1.1-r9.apk
4.09KB
2024-10-25 23:07:08
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
4.25KB
2024-10-25 23:07:08
py3-bson-0.5.10-r6.apk
11.69KB
2024-10-25 23:07:08
py3-bson-pyc-0.5.10-r6.apk
18.50KB
2024-10-25 23:07:08
py3-businesstime-0.3.0-r9.apk
10.63KB
2024-10-25 23:07:08
py3-businesstime-pyc-0.3.0-r9.apk
16.34KB
2024-10-25 23:07:08
py3-c3d-0.5.2-r1.apk
32.07KB
2024-10-25 23:07:08
py3-c3d-pyc-0.5.2-r1.apk
53.70KB
2024-10-25 23:07:08
py3-caldav-2.2.3-r0.apk
112.74KB
2025-12-07 21:13:30
py3-caldav-pyc-2.2.3-r0.apk
153.93KB
2025-12-07 21:13:30
py3-cassandra-driver-3.29.2-r0.apk
285.84KB
2024-10-25 23:07:08
py3-cassandra-driver-pyc-3.29.2-r0.apk
559.95KB
2024-10-25 23:07:08
py3-catkin-pkg-0.5.2-r4.apk
57.15KB
2024-10-25 23:07:08
py3-catkin-pkg-pyc-0.5.2-r4.apk
102.63KB
2024-10-25 23:07:08
py3-cchardet-2.1.7-r5.apk
121.29KB
2024-10-25 23:07:08
py3-cchardet-pyc-2.1.7-r5.apk
3.05KB
2024-10-25 23:07:08
py3-cdio-2.1.1-r6.apk
98.53KB
2025-01-25 09:04:09
py3-cdio-pyc-2.1.1-r6.apk
43.15KB
2025-01-25 09:04:09
py3-certauth-1.3.0-r1.apk
8.71KB
2024-10-25 23:07:08
py3-certauth-pyc-1.3.0-r1.apk
9.09KB
2024-10-25 23:07:08
py3-chameleon-4.6.0-r0.apk
97.14KB
2025-07-06 12:16:08
py3-chameleon-pyc-4.6.0-r0.apk
130.63KB
2025-07-06 12:16:08
py3-ciso8601-2.3.3-r0.apk
16.54KB
2025-11-10 19:32:18
py3-cjkwrap-2.2-r6.apk
4.51KB
2025-05-15 00:14:17
py3-cjkwrap-pyc-2.2-r6.apk
5.25KB
2025-05-15 00:14:17
py3-class-doc-1.25-r1.apk
6.06KB
2024-10-25 23:07:08
py3-class-doc-pyc-1.25-r1.apk
8.71KB
2024-10-25 23:07:08
py3-click-completion-0.5.2-r1.apk
10.79KB
2024-10-25 23:07:08
py3-click-completion-pyc-0.5.2-r1.apk
14.24KB
2024-10-25 23:07:08
py3-click-default-group-1.2.4-r1.apk
5.15KB
2024-10-25 23:07:08
py3-click-default-group-pyc-1.2.4-r1.apk
4.53KB
2024-10-25 23:07:08
py3-click-threading-0.5.0-r5.apk
6.35KB
2024-10-25 23:07:08
py3-click-threading-pyc-0.5.0-r5.apk
7.86KB
2024-10-25 23:07:08
py3-clickclick-20.10.2-r4.apk
7.95KB
2024-10-25 23:07:08
py3-clickclick-pyc-20.10.2-r4.apk
9.78KB
2024-10-25 23:07:08
py3-cmd2-2.4.3-r2.apk
139.42KB
2024-10-25 23:07:08
py3-cmd2-pyc-2.4.3-r2.apk
222.61KB
2024-10-25 23:07:08
py3-cobs-1.2.0-r4.apk
16.28KB
2024-10-25 23:07:08
py3-cobs-pyc-1.2.0-r4.apk
12.45KB
2024-10-25 23:07:08
py3-colander-2.0-r2.apk
62.47KB
2024-10-25 23:07:08
py3-colander-pyc-2.0-r2.apk
42.48KB
2024-10-25 23:07:08
py3-colorthief-0.2.1-r1.apk
7.31KB
2024-10-25 23:07:08
py3-colorthief-pyc-0.2.1-r1.apk
10.05KB
2024-10-25 23:07:08
py3-columnize-0.3.11-r4.apk
8.52KB
2024-10-25 23:07:08
py3-columnize-pyc-0.3.11-r4.apk
7.48KB
2024-10-25 23:07:08
py3-compdb-0.2.0-r8.apk
23.31KB
2024-10-25 23:07:08
py3-compdb-doc-0.2.0-r8.apk
3.04KB
2024-10-25 23:07:08
py3-compdb-pyc-0.2.0-r8.apk
39.63KB
2024-10-25 23:07:08
py3-confusable-homoglyphs-3.3.1-r0.apk
137.14KB
2025-09-26 03:43:27
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
9.36KB
2025-09-26 03:43:27
py3-cookiecutter-2.6.0-r1.apk
35.37KB
2024-10-25 23:07:08
py3-cookiecutter-doc-2.6.0-r1.apk
3.72KB
2024-10-25 23:07:08
py3-cookiecutter-pyc-2.6.0-r1.apk
47.50KB
2024-10-25 23:07:08
py3-coreapi-2.3.3-r9.apk
22.23KB
2024-10-25 23:07:08
py3-coreapi-pyc-2.3.3-r9.apk
43.27KB
2024-10-25 23:07:08
py3-crc16-0.1.1-r10.apk
11.60KB
2024-10-25 23:07:08
py3-crc16-pyc-0.1.1-r10.apk
4.71KB
2024-10-25 23:07:08
py3-createrepo_c-1.1.4-r0.apk
40.44KB
2024-10-25 23:07:08
py3-createrepo_c-pyc-1.1.4-r0.apk
14.51KB
2024-10-25 23:07:08
py3-croniter-6.0.0-r0.apk
25.80KB
2025-08-26 15:09:52
py3-croniter-pyc-6.0.0-r0.apk
25.58KB
2025-08-26 15:09:52
py3-cryptg-0.5.0-r0.apk
194.05KB
2025-05-15 00:14:17
py3-cryptg-pyc-0.5.0-r0.apk
1.91KB
2025-05-15 00:14:17
py3-cssutils-2.11.1-r1.apk
155.20KB
2024-10-25 23:07:08
py3-cssutils-pyc-2.11.1-r1.apk
278.65KB
2024-10-25 23:07:08
py3-cstruct-6.1-r0.apk
23.17KB
2025-08-22 02:07:05
py3-cstruct-pyc-6.1-r0.apk
37.63KB
2025-08-22 02:07:05
py3-cucumber-tag-expressions-6.1.1-r0.apk
8.67KB
2024-12-01 22:13:53
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
10.42KB
2024-12-01 22:13:53
py3-cvxpy-1.2.1-r5.apk
644.81KB
2024-10-25 23:07:08
py3-cvxpy-pyc-1.2.1-r5.apk
936.08KB
2024-10-25 23:07:08
py3-cython-test-exception-raiser-25.11.0-r0.apk
17.45KB
2025-11-11 21:23:01
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
1.84KB
2025-11-11 21:23:01
py3-dash-bootstrap-components-1.6.0-r0.apk
16.49KB
2025-04-13 13:18:38
py3-dataclasses-json-0.6.7-r0.apk
27.41KB
2024-10-25 23:07:08
py3-dataclasses-json-pyc-0.6.7-r0.apk
35.66KB
2024-10-25 23:07:08
py3-dataclasses-serialization-1.3.1-r3.apk
10.96KB
2024-10-25 23:07:08
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
14.27KB
2024-10-25 23:07:08
py3-dateparser-1.2.2-r0.apk
216.22KB
2025-07-06 22:46:22
py3-dateparser-pyc-1.2.2-r0.apk
335.19KB
2025-07-06 22:46:22
py3-daterangestr-0.0.3-r8.apk
4.35KB
2024-10-25 23:07:08
py3-daterangestr-pyc-0.0.3-r8.apk
4.22KB
2024-10-25 23:07:08
py3-dbus-fast-3.1.2-r0.apk
765.08KB
2025-11-23 23:15:38
py3-dbus-fast-doc-3.1.2-r0.apk
6.24KB
2025-11-23 23:15:38
py3-dbus-fast-pyc-3.1.2-r0.apk
130.19KB
2025-11-23 23:15:38
py3-deluge-client-1.10.2-r0.apk
12.89KB
2024-10-25 23:07:08
py3-deluge-client-doc-1.10.2-r0.apk
2.25KB
2024-10-25 23:07:08
py3-deluge-client-pyc-1.10.2-r0.apk
19.65KB
2024-10-25 23:07:08
py3-dexml-0.5.1-r9.apk
22.21KB
2024-10-25 23:07:08
py3-dexml-pyc-0.5.1-r9.apk
37.49KB
2024-10-25 23:07:08
py3-discid-1.3.0-r0.apk
12.53KB
2025-07-29 00:30:08
py3-discid-pyc-1.3.0-r0.apk
13.46KB
2025-07-29 00:30:08
py3-distorm3-3.5.2-r6.apk
47.40KB
2024-10-25 23:07:08
py3-distorm3-pyc-3.5.2-r6.apk
48.65KB
2024-10-25 23:07:08
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
14.65KB
2024-10-25 23:07:08
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
14.91KB
2024-10-25 23:07:08
py3-django-suit-0.2.28-r8.apk
365.77KB
2024-10-25 23:07:08
py3-django-suit-pyc-0.2.28-r8.apk
32.50KB
2024-10-25 23:07:08
py3-django-taggit-serializer-0.1.7-r8.apk
4.02KB
2024-10-25 23:07:08
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
4.96KB
2024-10-25 23:07:08
py3-dnslib-0.9.26-r0.apk
56.39KB
2025-09-01 21:15:23
py3-dnslib-pyc-0.9.26-r0.apk
109.07KB
2025-09-01 21:15:23
py3-dogpile.cache-1.3.3-r1.apk
52.58KB
2025-05-15 00:14:17
py3-dogpile.cache-pyc-1.3.3-r1.apk
90.48KB
2025-05-15 00:14:17
py3-doi-0.2-r0.apk
6.16KB
2025-04-12 15:09:29
py3-doi-pyc-0.2-r0.apk
4.74KB
2025-04-12 15:09:29
py3-doit-0.36.0-r5.apk
76.50KB
2024-10-25 23:07:08
py3-doit-pyc-0.36.0-r5.apk
133.25KB
2024-10-25 23:07:08
py3-dominate-2.9.1-r1.apk
24.75KB
2024-10-25 23:07:08
py3-dominate-pyc-2.9.1-r1.apk
33.73KB
2024-10-25 23:07:08
py3-dotty-dict-1.3.1-r4.apk
8.40KB
2024-10-25 23:07:08
py3-dotty-dict-pyc-1.3.1-r4.apk
8.68KB
2024-10-25 23:07:08
py3-downloader-cli-0.3.4-r2.apk
11.17KB
2025-05-15 00:14:17
py3-downloader-cli-pyc-0.3.4-r2.apk
14.12KB
2025-05-15 00:14:17
py3-dpath-2.2.0-r0.apk
17.03KB
2024-10-25 23:07:08
py3-dpath-pyc-2.2.0-r0.apk
17.77KB
2024-10-25 23:07:08
py3-drf-yasg-1.21.10-r0.apk
4.05MB
2025-07-15 11:46:33
py3-drf-yasg-pyc-1.21.10-r0.apk
98.22KB
2025-07-15 11:46:33
py3-dunamai-1.25.0-r0.apk
26.86KB
2025-07-26 01:23:57
py3-dunamai-pyc-1.25.0-r0.apk
43.85KB
2025-07-26 01:23:57
py3-duniterpy-1.1.1-r3.apk
221.32KB
2024-10-25 23:07:08
py3-dweepy-0.3.0-r7.apk
9.08KB
2024-10-25 23:07:08
py3-dweepy-pyc-0.3.0-r7.apk
6.26KB
2024-10-25 23:07:08
py3-ecbdata-0.1.1-r0.apk
13.47KB
2025-04-14 02:28:46
py3-ecos-2.0.11-r4.apk
27.30KB
2024-10-25 23:07:08
py3-ecos-pyc-2.0.11-r4.apk
3.63KB
2024-10-25 23:07:08
py3-edalize-0.5.4-r0.apk
122.60KB
2024-10-25 23:07:08
py3-edalize-pyc-0.5.4-r0.apk
190.17KB
2024-10-25 23:07:08
py3-editdistance-s-1.0.0-r6.apk
15.02KB
2024-10-25 23:07:08
py3-editdistance-s-pyc-1.0.0-r6.apk
2.03KB
2024-10-25 23:07:08
py3-empy-3.3.4-r7.apk
39.32KB
2024-10-25 23:07:08
py3-empy-pyc-3.3.4-r7.apk
58.49KB
2024-10-25 23:07:08
py3-engineio-4.12.3-r0.apk
48.71KB
2025-11-27 11:35:52
py3-engineio-doc-4.12.3-r0.apk
33.43KB
2025-11-27 11:35:52
py3-engineio-pyc-4.12.3-r0.apk
104.22KB
2025-11-27 11:35:52
py3-enlighten-1.14.1-r0.apk
36.90KB
2025-08-28 12:31:17
py3-enlighten-pyc-1.14.1-r0.apk
46.40KB
2025-08-28 12:31:17
py3-enzyme-0.5.2-r0.apk
22.72KB
2025-07-15 11:22:16
py3-enzyme-pyc-0.5.2-r0.apk
18.80KB
2025-07-15 11:22:16
py3-eradicate-2.3.0-r2.apk
7.57KB
2024-10-25 23:07:08
py3-eradicate-doc-2.3.0-r2.apk
2.52KB
2024-10-25 23:07:08
py3-eradicate-pyc-2.3.0-r2.apk
8.35KB
2024-10-25 23:07:08
py3-euclid3-0.01-r8.apk
14.17KB
2024-10-25 23:07:08
py3-euclid3-pyc-0.01-r8.apk
32.56KB
2024-10-25 23:07:08
py3-evalidate-2.1.2-r0.apk
13.62KB
2025-12-11 00:48:43
py3-evalidate-pyc-2.1.2-r0.apk
12.15KB
2025-12-11 00:48:43
py3-eventlet-0.38.1-r0.apk
332.21KB
2024-12-11 23:35:22
py3-eventlet-pyc-0.38.1-r0.apk
335.64KB
2024-12-11 23:35:22
py3-evohome-client-0.3.9-r0.apk
18.83KB
2025-07-15 11:22:16
py3-evohome-client-pyc-0.3.9-r0.apk
27.30KB
2025-07-15 11:22:16
py3-fastdiff-0.3.0-r5.apk
38.44KB
2024-10-25 23:07:08
py3-fastdiff-pyc-0.3.0-r5.apk
4.20KB
2024-10-25 23:07:08
py3-feedgen-1.0.0-r1.apk
40.23KB
2024-10-25 23:07:08
py3-feedgen-pyc-1.0.0-r1.apk
61.69KB
2024-10-25 23:07:08
py3-feedgenerator-2.1.0-r2.apk
18.02KB
2024-10-25 23:07:08
py3-feedgenerator-pyc-2.1.0-r2.apk
26.75KB
2024-10-25 23:07:08
py3-ffmpeg-0.2.0-r5.apk
23.63KB
2025-05-15 00:14:17
py3-ffmpeg-pyc-0.2.0-r5.apk
32.61KB
2025-05-15 00:14:17
py3-firmata-1.0.3-r10.apk
14.18KB
2024-10-25 23:07:08
py3-firmata-pyc-1.0.3-r10.apk
20.88KB
2024-10-25 23:07:08
py3-flake8-blind-except-0.2.1-r4.apk
5.20KB
2024-10-25 23:07:08
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2.59KB
2024-10-25 23:07:08
py3-flake8-builtins-2.5.0-r0.apk
12.73KB
2024-12-07 23:51:32
py3-flake8-builtins-pyc-2.5.0-r0.apk
7.87KB
2024-12-07 23:51:32
py3-flake8-copyright-0.2.4-r3.apk
18.25KB
2024-10-25 23:07:08
py3-flake8-copyright-pyc-0.2.4-r3.apk
3.33KB
2024-10-25 23:07:08
py3-flake8-debugger-4.1.2-r4.apk
6.24KB
2024-10-25 23:07:08
py3-flake8-debugger-pyc-4.1.2-r4.apk
5.96KB
2024-10-25 23:07:08
py3-flake8-import-order-0.18.2-r4.apk
15.41KB
2024-10-25 23:07:08
py3-flake8-import-order-pyc-0.18.2-r4.apk
16.77KB
2024-10-25 23:07:08
py3-flake8-isort-7.0.0-r0.apk
17.98KB
2025-10-25 23:12:24
py3-flake8-isort-pyc-7.0.0-r0.apk
5.36KB
2025-10-25 23:12:24
py3-flake8-polyfill-1.0.2-r5.apk
7.07KB
2024-10-25 23:07:08
py3-flake8-polyfill-pyc-1.0.2-r5.apk
5.69KB
2024-10-25 23:07:08
py3-flake8-print-5.0.0-r5.apk
6.72KB
2024-10-25 23:07:08
py3-flake8-print-pyc-5.0.0-r5.apk
4.41KB
2024-10-25 23:07:08
py3-flake8-snippets-0.2-r8.apk
5.32KB
2024-10-25 23:07:08
py3-flake8-snippets-pyc-0.2-r8.apk
3.67KB
2024-10-25 23:07:08
py3-flake8-todo-0.7-r7.apk
3.59KB
2024-10-25 23:07:08
py3-flake8-todo-pyc-0.7-r7.apk
2.23KB
2024-10-25 23:07:08
py3-flask-accept-0.0.7-r0.apk
5.58KB
2025-07-15 11:22:16
py3-flask-accept-pyc-0.0.7-r0.apk
3.68KB
2025-07-15 11:22:16
py3-flask-admin-1.6.1-r3.apk
6.52MB
2024-10-25 23:07:08
py3-flask-admin-pyc-1.6.1-r3.apk
358.29KB
2024-10-25 23:07:08
py3-flask-autorouter-0.2.2-r3.apk
5.08KB
2024-10-25 23:07:08
py3-flask-autorouter-pyc-0.2.2-r3.apk
4.95KB
2024-10-25 23:07:08
py3-flask-basicauth-0.2.0-r9.apk
5.34KB
2024-10-25 23:07:08
py3-flask-basicauth-pyc-0.2.0-r9.apk
4.07KB
2024-10-25 23:07:08
py3-flask-bcrypt-1.0.1-r5.apk
7.14KB
2024-10-25 23:07:08
py3-flask-bcrypt-pyc-1.0.1-r5.apk
5.80KB
2024-10-25 23:07:08
py3-flask-bootstrap-3.3.7.1-r9.apk
448.63KB
2025-05-15 00:14:17
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
10.87KB
2025-05-15 00:14:17
py3-flask-cache-0.13.1-r9.apk
12.77KB
2024-10-25 23:07:08
py3-flask-cache-pyc-0.13.1-r9.apk
18.28KB
2024-10-25 23:07:08
py3-flask-cdn-1.5.3-r8.apk
4.76KB
2024-10-25 23:07:08
py3-flask-cdn-pyc-1.5.3-r8.apk
4.08KB
2024-10-25 23:07:08
py3-flask-components-0.1.1-r9.apk
3.93KB
2024-10-25 23:07:08
py3-flask-components-pyc-0.1.1-r9.apk
3.30KB
2024-10-25 23:07:08
py3-flask-dbconfig-0.3.12-r8.apk
85.69KB
2024-10-25 23:07:08
py3-flask-dbconfig-pyc-0.3.12-r8.apk
6.25KB
2024-10-25 23:07:08
py3-flask-flatpages-0.8.3-r0.apk
10.70KB
2024-12-07 00:57:24
py3-flask-flatpages-pyc-0.8.3-r0.apk
13.65KB
2024-12-07 00:57:24
py3-flask-gzip-0.2-r8.apk
3.18KB
2024-10-25 23:07:08
py3-flask-gzip-pyc-0.2-r8.apk
2.83KB
2024-10-25 23:07:08
py3-flask-headers-1.0-r9.apk
3.20KB
2024-10-25 23:07:08
py3-flask-headers-pyc-1.0-r9.apk
2.45KB
2024-10-25 23:07:08
py3-flask-httpauth-4.8.0-r3.apk
7.84KB
2025-11-29 13:38:01
py3-flask-httpauth-pyc-4.8.0-r3.apk
10.59KB
2025-11-29 13:38:01
py3-flask-json-schema-0.0.5-r4.apk
4.10KB
2024-10-25 23:07:08
py3-flask-json-schema-pyc-0.0.5-r4.apk
3.44KB
2024-10-25 23:07:08
py3-flask-limiter-3.10.1-r0.apk
26.60KB
2025-01-25 09:04:09
py3-flask-limiter-pyc-3.10.1-r0.apk
46.98KB
2025-01-25 09:04:09
py3-flask-loopback-1.4.7-r7.apk
5.57KB
2024-10-25 23:07:08
py3-flask-loopback-pyc-1.4.7-r7.apk
7.92KB
2024-10-25 23:07:08
py3-flask-mailman-1.1.1-r0.apk
16.47KB
2024-10-25 23:07:08
py3-flask-mailman-pyc-1.1.1-r0.apk
25.74KB
2024-10-25 23:07:08
py3-flask-markdown-0.3-r8.apk
5.61KB
2024-10-25 23:07:08
py3-flask-markdown-pyc-0.3-r8.apk
3.86KB
2024-10-25 23:07:08
py3-flask-migrate-4.1.0-r0.apk
13.31KB
2025-07-15 11:22:16
py3-flask-migrate-pyc-4.1.0-r0.apk
18.41KB
2025-07-15 11:22:16
py3-flask-paginate-0.8.1-r6.apk
8.21KB
2024-10-25 23:07:08
py3-flask-paginate-pyc-0.8.1-r6.apk
11.19KB
2024-10-25 23:07:08
py3-flask-peewee-3.0.6-r0.apk
172.04KB
2024-10-25 23:07:08
py3-flask-peewee-pyc-3.0.6-r0.apk
95.47KB
2024-10-25 23:07:08
py3-flask-qrcode-3.2.0-r0.apk
18.03KB
2024-12-12 08:32:04
py3-flask-qrcode-pyc-3.2.0-r0.apk
6.15KB
2024-12-12 08:32:04
py3-flask-restaction-0.25.3-r8.apk
114.85KB
2024-10-25 23:07:08
py3-flask-restaction-pyc-0.25.3-r8.apk
19.81KB
2024-10-25 23:07:08
py3-flask-restless-0.17.0-r9.apk
40.48KB
2024-10-25 23:07:08
py3-flask-restless-pyc-0.17.0-r9.apk
59.06KB
2024-10-25 23:07:08
py3-flask-security-5.6.2-r0.apk
296.75KB
2025-11-10 05:16:51
py3-flask-security-pyc-5.6.2-r0.apk
227.22KB
2025-11-10 05:16:51
py3-flask-socketio-5.5.1-r0.apk
18.34KB
2025-09-06 02:29:14
py3-flask-socketio-doc-5.5.1-r0.apk
23.02KB
2025-09-06 02:29:14
py3-flask-socketio-pyc-5.5.1-r0.apk
25.95KB
2025-09-06 02:29:14
py3-flask-themer-2.0.0-r2.apk
7.89KB
2024-10-25 23:07:09
py3-flask-themer-pyc-2.0.0-r2.apk
6.97KB
2024-10-25 23:07:09
py3-forbiddenfruit-0.1.4-r2.apk
8.96KB
2024-10-25 23:07:09
py3-forbiddenfruit-pyc-0.1.4-r2.apk
9.66KB
2024-10-25 23:07:09
py3-fpdf-1.7.2-r5.apk
39.72KB
2024-10-25 23:07:09
py3-fpdf-pyc-1.7.2-r5.apk
89.18KB
2024-10-25 23:07:09
py3-freetype-py-2.5.1-r0.apk
160.94KB
2024-10-25 23:07:09
py3-furl-2.1.4-r0.apk
27.53KB
2025-07-15 11:22:16
py3-furl-pyc-2.1.4-r0.apk
32.49KB
2025-07-15 11:22:16
py3-gdcm-3.2.2-r3.apk
690.66KB
2025-12-02 06:28:54
py3-geoip-1.3.2-r4.apk
21.91KB
2024-10-25 23:07:09
py3-gevent-websocket-0.10.1-r8.apk
19.74KB
2024-10-25 23:07:09
py3-gevent-websocket-pyc-0.10.1-r8.apk
30.36KB
2024-10-25 23:07:09
py3-git-versioner-7.1-r1.apk
11.96KB
2024-10-25 23:07:09
py3-git-versioner-pyc-7.1-r1.apk
13.51KB
2024-10-25 23:07:09
py3-github3-4.0.1-r1.apk
128.26KB
2024-10-25 23:07:09
py3-github3-pyc-4.0.1-r1.apk
226.98KB
2024-10-25 23:07:09
py3-glob2-0.7-r6.apk
10.27KB
2024-10-25 23:07:09
py3-glob2-pyc-0.7-r6.apk
12.91KB
2024-10-25 23:07:09
py3-gls-1.3.1-r1.apk
46.77KB
2024-10-25 23:07:09
py3-gls-pyc-1.3.1-r1.apk
84.13KB
2024-10-25 23:07:09
py3-google-trans-new-1.1.9-r2.apk
9.23KB
2024-10-25 23:07:09
py3-google-trans-new-pyc-1.1.9-r2.apk
10.58KB
2024-10-25 23:07:09
py3-googletrans-3.0.0-r5.apk
15.20KB
2025-05-15 00:14:17
py3-googletrans-pyc-3.0.0-r5.apk
17.27KB
2025-05-15 00:14:17
py3-grequests-0.7.0-r3.apk
6.72KB
2025-05-15 00:14:17
py3-grequests-pyc-0.7.0-r3.apk
5.84KB
2025-05-15 00:14:17
py3-gtkspellcheck-5.0.3-r1.apk
44.78KB
2025-08-09 02:40:01
py3-gtkspellcheck-pyc-5.0.3-r1.apk
29.62KB
2025-08-09 02:40:01
py3-halo-0.0.31-r5.apk
11.46KB
2024-10-25 23:07:09
py3-halo-pyc-0.0.31-r5.apk
13.92KB
2024-10-25 23:07:09
py3-hatch-openzim-0.2.0-r0.apk
24.51KB
2024-10-25 23:07:09
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
24.18KB
2024-10-25 23:07:09
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
12.69KB
2024-10-25 23:07:09
py3-hatch-openzim-pyc-0.2.0-r0.apk
12.99KB
2024-10-25 23:07:09
py3-helper-2.5.0-r5.apk
18.77KB
2024-10-25 23:07:09
py3-helper-pyc-2.5.0-r5.apk
28.17KB
2024-10-25 23:07:09
py3-hfst-3.16.2-r0.apk
355.86KB
2025-03-28 18:15:42
py3-hg-git-1.1.1-r1.apk
70.10KB
2024-10-25 23:07:09
py3-hg-git-pyc-1.1.1-r1.apk
106.79KB
2024-10-25 23:07:09
py3-himitsu-0.0.9-r0.apk
5.81KB
2025-08-26 15:09:52
py3-himitsu-pyc-0.0.9-r0.apk
7.54KB
2025-08-26 15:09:52
py3-hishel-0.1.4-r0.apk
76.85KB
2025-10-15 15:50:10
py3-hishel-pyc-0.1.4-r0.apk
143.55KB
2025-10-15 15:50:10
py3-html5-parser-0.4.12-r1.apk
162.47KB
2024-10-25 23:07:09
py3-html5-parser-pyc-0.4.12-r1.apk
22.27KB
2024-10-25 23:07:09
py3-hurry.filesize-0.9-r8.apk
4.61KB
2024-10-25 23:07:09
py3-hurry.filesize-pyc-0.9-r8.apk
3.26KB
2024-10-25 23:07:09
py3-hypercorn-0.17.3-r0.apk
47.41KB
2025-07-24 04:27:28
py3-hypercorn-pyc-0.17.3-r0.apk
120.28KB
2025-07-24 04:27:28
py3-icalendar-searcher-1.0.3-r0.apk
34.96KB
2025-12-07 21:13:30
py3-icalendar-searcher-pyc-1.0.3-r0.apk
25.81KB
2025-12-07 21:13:30
py3-igraph-1.0.0-r0.apk
410.40KB
2025-10-28 00:18:34
py3-igraph-dev-1.0.0-r0.apk
2.60KB
2025-10-28 00:18:34
py3-igraph-pyc-1.0.0-r0.apk
374.39KB
2025-10-28 00:18:34
py3-imageio-2.37.0-r0.apk
285.48KB
2025-07-15 11:22:16
py3-imageio-ffmpeg-0.4.9-r1.apk
16.49KB
2024-10-25 23:07:09
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
20.26KB
2024-10-25 23:07:09
py3-imageio-pyc-2.37.0-r0.apk
503.80KB
2025-07-15 11:22:16
py3-imdbpy-2021.4.18-r5.apk
229.00KB
2024-10-25 23:07:09
py3-imdbpy-pyc-2021.4.18-r5.apk
242.58KB
2024-10-25 23:07:09
py3-incoming-0.3.1-r8.apk
12.83KB
2024-10-25 23:07:09
py3-incoming-pyc-0.3.1-r8.apk
19.95KB
2024-10-25 23:07:09
py3-infinity-1.5-r6.apk
4.38KB
2024-10-25 23:07:09
py3-infinity-pyc-1.5-r6.apk
3.74KB
2024-10-25 23:07:09
py3-iniparse-0.5-r7.apk
18.69KB
2024-10-25 23:07:09
py3-iniparse-doc-0.5-r7.apk
10.34KB
2024-10-25 23:07:09
py3-iniparse-pyc-0.5-r7.apk
24.62KB
2024-10-25 23:07:09
py3-intervals-0.9.2-r5.apk
9.45KB
2024-10-25 23:07:09
py3-intervals-pyc-0.9.2-r5.apk
15.16KB
2024-10-25 23:07:09
py3-ioctl-opt-1.3-r0.apk
11.62KB
2025-01-27 23:37:36
py3-ioctl-opt-pyc-1.3-r0.apk
4.65KB
2025-01-27 23:37:36
py3-irc-20.4.1-r1.apk
40.08KB
2025-10-08 00:03:35
py3-irc-pyc-20.4.1-r1.apk
70.28KB
2025-10-08 00:03:35
py3-isbnlib-3.10.14-r0.apk
42.66KB
2025-01-25 09:04:09
py3-isbnlib-pyc-3.10.14-r0.apk
66.68KB
2025-01-25 09:04:09
py3-iso639-lang-2.6.3-r0.apk
310.91KB
2025-12-04 15:54:27
py3-iso639-lang-pyc-2.6.3-r0.apk
12.23KB
2025-12-04 15:54:27
py3-itemadapter-0.10.0-r0.apk
11.25KB
2024-11-30 22:42:18
py3-itemadapter-pyc-0.10.0-r0.apk
12.67KB
2024-11-30 22:42:18
py3-itemloaders-1.3.2-r1.apk
12.30KB
2025-08-09 02:40:01
py3-itemloaders-pyc-1.3.2-r1.apk
16.51KB
2025-08-09 02:40:01
py3-iterable-io-1.0.0-r0.apk
6.04KB
2024-10-25 23:07:09
py3-iterable-io-pyc-1.0.0-r0.apk
5.25KB
2024-10-25 23:07:09
py3-itunespy-1.6-r5.apk
9.74KB
2025-05-15 00:14:17
py3-itunespy-pyc-1.6-r5.apk
14.60KB
2025-05-15 00:14:17
py3-janus-1.2.0-r0.apk
12.36KB
2024-12-13 06:57:45
py3-janus-pyc-1.2.0-r0.apk
13.34KB
2024-12-13 06:57:45
py3-jaraco.logging-3.4.0-r0.apk
5.32KB
2025-06-18 01:13:35
py3-jaraco.logging-pyc-3.4.0-r0.apk
6.11KB
2025-06-18 01:13:35
py3-jaraco.path-3.7.2-r0.apk
7.62KB
2024-10-25 23:07:09
py3-jaraco.path-pyc-3.7.2-r0.apk
9.52KB
2024-10-25 23:07:09
py3-jaraco.stream-3.0.4-r0.apk
6.71KB
2024-12-15 00:49:57
py3-jaraco.stream-pyc-3.0.4-r0.apk
8.08KB
2024-12-15 00:49:57
py3-jaraco.vcs-2.4.1-r0.apk
10.19KB
2025-03-09 11:04:49
py3-jaraco.vcs-pyc-2.4.1-r0.apk
15.95KB
2025-03-09 11:04:49
py3-jaraco.versioning-1.1.0-r0.apk
5.91KB
2024-10-25 23:07:09
py3-jaraco.versioning-pyc-1.1.0-r0.apk
6.10KB
2024-10-25 23:07:09
py3-joserfc-1.5.0-r0.apk
54.07KB
2025-12-04 15:54:27
py3-joserfc-pyc-1.5.0-r0.apk
110.34KB
2025-12-04 15:54:27
py3-junit-xml-1.9-r3.apk
8.34KB
2024-10-25 23:07:09
py3-junit-xml-pyc-1.9-r3.apk
9.32KB
2024-10-25 23:07:09
py3-kazoo-0_git20211202-r4.apk
125.03KB
2024-10-25 23:07:09
py3-kazoo-pyc-0_git20211202-r4.apk
244.50KB
2024-10-25 23:07:09
py3-keepalive-0.5-r5.apk
8.97KB
2024-10-25 23:07:09
py3-keepalive-doc-0.5-r5.apk
1.99KB
2024-10-25 23:07:09
py3-keepalive-pyc-0.5-r5.apk
13.05KB
2024-10-25 23:07:09
py3-kerberos-1.3.1-r5.apk
16.65KB
2024-10-25 23:07:09
py3-knowit-0.5.11-r0.apk
31.67KB
2025-11-24 01:18:00
py3-knowit-pyc-0.5.11-r0.apk
61.51KB
2025-11-24 01:18:00
py3-landlock-1.0.0_pre4-r2.apk
8.41KB
2024-10-25 23:07:09
py3-landlock-pyc-1.0.0_pre4-r2.apk
9.52KB
2024-10-25 23:07:09
py3-langcodes-3.3.0-r2.apk
173.54KB
2024-10-25 23:07:09
py3-langcodes-pyc-3.3.0-r2.apk
109.73KB
2024-10-25 23:07:09
py3-language-data-1.3.0-r0.apk
4.95MB
2024-12-01 22:08:09
py3-language-data-pyc-1.3.0-r0.apk
2.96MB
2024-12-01 22:08:09
py3-latex2mathml-3.78.1-r1.apk
72.05KB
2025-09-29 21:48:07
py3-latex2mathml-pyc-3.78.1-r1.apk
34.81KB
2025-09-29 21:48:07
py3-lib_users-0.15-r4.apk
15.62KB
2024-10-25 23:07:09
py3-lib_users-pyc-0.15-r4.apk
9.51KB
2024-10-25 23:07:09
py3-libacl-0.7.3-r0.apk
25.18KB
2025-10-17 01:04:14
py3-libcec-rpi-6.0.2-r4.apk
104.98KB
2024-10-25 23:07:09
py3-libguestfs-1.56.1-r0.apk
177.76KB
2025-07-24 04:27:28
py3-libiio-0.25-r2.apk
12.57KB
2024-10-25 23:07:09
py3-liblarch-3.2.0-r6.apk
29.51KB
2024-12-08 23:40:19
py3-liblarch-pyc-3.2.0-r6.apk
49.61KB
2024-12-08 23:40:19
py3-libmdbx-0.10.2-r7.apk
27.89KB
2024-10-25 23:07:09
py3-libmdbx-pyc-0.10.2-r7.apk
32.82KB
2024-10-25 23:07:09
py3-libnacl-2.1.0-r1.apk
20.31KB
2024-10-25 23:07:09
py3-libnacl-pyc-2.1.0-r1.apk
30.35KB
2024-10-25 23:07:09
py3-libpyshell-0.4.1-r1.apk
11.52KB
2025-06-10 12:39:22
py3-libpyshell-pyc-0.4.1-r1.apk
18.30KB
2025-06-10 12:39:22
py3-librtmp-0.3.0-r6.apk
33.30KB
2024-10-25 23:07:09
py3-librtmp-pyc-0.3.0-r6.apk
24.57KB
2024-10-25 23:07:09
py3-limits-3.14.1-r0.apk
33.50KB
2024-12-25 20:53:26
py3-limits-pyc-3.14.1-r0.apk
71.44KB
2024-12-25 20:53:26
py3-linkify-it-py-2.0.3-r1.apk
21.33KB
2024-10-25 23:07:09
py3-linkify-it-py-pyc-2.0.3-r1.apk
23.42KB
2024-10-25 23:07:09
py3-litex-hub-modules-2024.04-r0.apk
1.62KB
2024-10-25 23:07:09
py3-litex-hub-modules-pyc-2024.04-r0.apk
1.11MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
5.55MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
1.93MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
934.13KB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
500.02KB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
10.15MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
1.80MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
112.11KB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
207.86KB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
19.46MB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
44.83KB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
221.40KB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
7.56KB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
230.29KB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
56.79MB
2024-10-25 23:07:11
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
675.21KB
2024-10-25 23:07:11
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
712.64KB
2024-10-25 23:07:11
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2.40MB
2024-10-25 23:07:11
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
57.95KB
2024-10-25 23:07:11
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2.20MB
2024-10-25 23:07:11
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
4.69MB
2024-10-25 23:07:11
py3-litex-hub-valentyusb-2024.04-r0.apk
111.52KB
2024-10-25 23:07:11
py3-livestream-2.1.0-r0.apk
766.24KB
2024-11-26 00:22:43
py3-livestream-pyc-2.1.0-r0.apk
29.89KB
2024-11-26 00:22:43
py3-log-symbols-0.0.14-r5.apk
4.21KB
2024-10-25 23:07:11
py3-log-symbols-pyc-0.0.14-r5.apk
3.07KB
2024-10-25 23:07:11
py3-logtop-0.7-r1.apk
20.37KB
2025-08-09 02:40:01
py3-logtop-pyc-0.7-r1.apk
4.08KB
2025-08-09 02:40:01
py3-lsp-mypy-0.7.0-r1.apk
12.82KB
2025-08-30 04:39:28
py3-lsp-mypy-pyc-0.7.0-r1.apk
12.76KB
2025-08-30 04:39:28
py3-lsprotocol-2023.0.1-r1.apk
69.49KB
2024-10-25 23:07:11
py3-lsprotocol-pyc-2023.0.1-r1.apk
107.11KB
2024-10-25 23:07:11
py3-luhn-0.2.0-r9.apk
3.98KB
2024-10-25 23:07:11
py3-luhn-pyc-0.2.0-r9.apk
2.63KB
2024-10-25 23:07:11
py3-lunr-0.6.2-r4.apk
32.49KB
2024-10-25 23:07:11
py3-lunr-pyc-0.6.2-r4.apk
50.62KB
2024-10-25 23:07:11
py3-lxmf-0.8.0-r0.apk
50.79KB
2025-09-23 22:39:58
py3-lxmf-pyc-0.8.0-r0.apk
109.39KB
2025-09-23 22:39:58
py3-ly-0.9.9-r0.apk
186.60KB
2025-07-15 11:22:16
py3-ly-doc-0.9.9-r0.apk
8.07KB
2025-07-15 11:22:16
py3-ly-pyc-0.9.9-r0.apk
351.99KB
2025-07-15 11:22:16
py3-lzo-1.16-r1.apk
16.42KB
2024-10-25 23:07:11
py3-lzo-pyc-1.16-r1.apk
1.97KB
2024-10-25 23:07:11
py3-m2crypto-0.41.0-r2.apk
191.47KB
2024-10-25 23:07:11
py3-m2crypto-pyc-0.41.0-r2.apk
122.61KB
2024-10-25 23:07:11
py3-maidenhead-1.8.0-r0.apk
7.94KB
2025-08-11 00:05:06
py3-maidenhead-doc-1.8.0-r0.apk
3.68KB
2025-08-11 00:05:06
py3-maidenhead-pyc-1.8.0-r0.apk
7.95KB
2025-08-11 00:05:06
py3-mando-0.7.1-r3.apk
22.14KB
2024-10-25 23:07:11
py3-mando-doc-0.7.1-r3.apk
4.20KB
2024-10-25 23:07:11
py3-mando-pyc-0.7.1-r3.apk
35.97KB
2024-10-25 23:07:11
py3-manuel-1.13.0-r1.apk
39.36KB
2025-10-14 18:04:40
py3-manuel-pyc-1.13.0-r1.apk
25.66KB
2025-10-14 18:04:40
py3-mapbox-earcut-1.0.1-r2.apk
57.74KB
2024-10-25 23:07:11
py3-marisa-trie-1.3.1-r0.apk
135.38KB
2025-08-29 12:31:54
py3-markdown2-2.5.0-r0.apk
47.22KB
2024-10-25 23:07:11
py3-markdown2-pyc-2.5.0-r0.apk
75.49KB
2024-10-25 23:07:11
py3-markdownify-1.2.2-r0.apk
16.17KB
2025-11-27 18:00:52
py3-markdownify-pyc-1.2.2-r0.apk
18.24KB
2025-11-27 18:00:52
py3-marshmallow-3.26.1-r0.apk
47.55KB
2025-02-22 00:37:13
py3-marshmallow-enum-1.5.1-r7.apk
5.29KB
2024-10-25 23:07:11
py3-marshmallow-enum-pyc-1.5.1-r7.apk
4.45KB
2024-10-25 23:07:11
py3-marshmallow-pyc-3.26.1-r0.apk
84.90KB
2025-02-22 00:37:13
py3-mbedtls-2.10.1-r3.apk
964.85KB
2025-05-29 14:57:04
py3-mbedtls-pyc-2.10.1-r3.apk
27.00KB
2025-05-29 14:57:04
py3-meshtastic-2.7.2-r0.apk
539.83KB
2025-09-16 16:46:12
py3-migen-0.9.2-r2.apk
142.61KB
2024-10-25 23:07:11
py3-migen-pyc-0.9.2-r2.apk
295.65KB
2024-10-25 23:07:11
py3-milc-1.9.1-r0.apk
25.99KB
2025-01-25 18:04:46
py3-milc-pyc-1.9.1-r0.apk
41.50KB
2025-01-25 18:04:46
py3-minidb-2.0.8-r0.apk
10.05KB
2024-11-13 20:52:23
py3-minidb-pyc-2.0.8-r0.apk
23.43KB
2024-11-13 20:52:23
py3-minidump-0.0.24-r1.apk
63.53KB
2025-05-29 14:57:04
py3-minidump-pyc-0.0.24-r1.apk
129.10KB
2025-05-29 14:57:04
py3-minikerberos-0.4.9-r0.apk
123.21KB
2025-11-03 08:49:08
py3-minikerberos-examples-0.4.9-r0.apk
17.36KB
2025-11-03 08:49:08
py3-minikerberos-pyc-0.4.9-r0.apk
287.29KB
2025-11-03 08:49:08
py3-minio-7.2.18-r0.apk
74.86KB
2025-11-10 05:17:53
py3-minio-pyc-7.2.18-r0.apk
155.08KB
2025-11-10 05:17:53
py3-mistletoe-1.4.0-r0.apk
44.56KB
2025-03-19 13:44:59
py3-mistletoe-pyc-1.4.0-r0.apk
93.00KB
2025-03-19 13:44:59
py3-mistune1-0.8.4-r6.apk
14.42KB
2025-11-09 20:52:57
py3-mistune1-pyc-0.8.4-r6.apk
21.47KB
2025-11-09 20:52:57
py3-mnemonic-0.21-r0.apk
95.04KB
2024-10-25 23:07:11
py3-mnemonic-doc-0.21-r0.apk
2.40KB
2024-10-25 23:07:11
py3-mnemonic-pyc-0.21-r0.apk
9.69KB
2024-10-25 23:07:11
py3-modbus-tk-1.1.1-r4.apk
24.77KB
2024-10-25 23:07:11
py3-modbus-tk-pyc-1.1.1-r4.apk
48.49KB
2024-10-25 23:07:11
py3-modern_colorthief-0.1.7-r0.apk
828.52KB
2025-06-16 21:03:05
py3-modern_colorthief-pyc-0.1.7-r0.apk
2.60KB
2025-06-16 21:03:05
py3-mopidy-jellyfin-1.0.4-r4.apk
24.54KB
2024-10-25 23:07:11
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
36.65KB
2024-10-25 23:07:11
py3-mopidy-local-3.3.0-r0.apk
27.77KB
2025-01-02 00:26:56
py3-mopidy-local-pyc-3.3.0-r0.apk
34.10KB
2025-01-02 00:26:56
py3-mopidy-mpd-3.3.0-r4.apk
46.01KB
2024-10-25 23:07:11
py3-mopidy-mpd-pyc-3.3.0-r4.apk
73.82KB
2024-10-25 23:07:11
py3-mopidy-tidal-0.3.2-r6.apk
24.75KB
2024-10-25 23:07:11
py3-mopidy-tidal-pyc-0.3.2-r6.apk
35.63KB
2024-10-25 23:07:11
py3-more-properties-1.1.1-r3.apk
7.43KB
2024-10-25 23:07:11
py3-more-properties-pyc-1.1.1-r3.apk
8.22KB
2024-10-25 23:07:11
py3-moviepy-1.0.3-r6.apk
94.49KB
2024-10-25 23:07:11
py3-moviepy-pyc-1.0.3-r6.apk
155.53KB
2024-10-25 23:07:11
py3-msldap-0.5.15-r2.apk
126.63KB
2025-10-17 17:40:42
py3-msldap-examples-0.5.15-r2.apk
18.37KB
2025-10-17 17:40:42
py3-msldap-pyc-0.5.15-r2.apk
329.78KB
2025-10-17 17:40:42
py3-mss-10.0.0-r0.apk
50.59KB
2024-11-14 15:10:59
py3-natpmp-1.3.2-r1.apk
9.41KB
2024-10-25 23:07:11
py3-natpmp-pyc-1.3.2-r1.apk
9.86KB
2024-10-25 23:07:11
py3-ncclient-0.6.13-r5.apk
68.21KB
2024-10-25 23:07:11
py3-ncclient-pyc-0.6.13-r5.apk
106.52KB
2024-10-25 23:07:11
py3-netifaces2-0.0.22-r0.apk
200.51KB
2024-10-25 23:07:11
py3-netifaces2-pyc-0.0.22-r0.apk
9.21KB
2024-10-25 23:07:11
py3-netmiko-4.6.0-r0.apk
191.92KB
2025-08-13 18:31:09
py3-netmiko-pyc-4.6.0-r0.apk
372.25KB
2025-08-13 18:31:09
py3-nmap-0.7.1-r4.apk
20.35KB
2024-10-25 23:07:11
py3-nmap-pyc-0.7.1-r4.apk
25.48KB
2024-10-25 23:07:11
py3-nose-timer-1.0.1-r6.apk
9.37KB
2024-10-25 23:07:11
py3-nose-timer-pyc-1.0.1-r6.apk
9.91KB
2024-10-25 23:07:11
py3-notifymail-1.1-r8.apk
7.58KB
2024-10-25 23:07:11
py3-notifymail-pyc-1.1-r8.apk
5.74KB
2024-10-25 23:07:11
py3-nptyping-2.5.0-r3.apk
21.34KB
2024-10-25 23:07:11
py3-nptyping-pyc-2.5.0-r3.apk
32.03KB
2024-10-25 23:07:11
py3-ntplib-0.4.0-r5.apk
7.40KB
2024-10-25 23:07:11
py3-ntplib-pyc-0.4.0-r5.apk
8.62KB
2024-10-25 23:07:11
py3-numpy-stl-3.2.0-r0.apk
21.01KB
2024-12-01 04:04:48
py3-numpy-stl-pyc-3.2.0-r0.apk
27.87KB
2024-12-01 04:04:48
py3-nwdiag-3.0.0-r3.apk
4.92MB
2024-10-25 23:07:11
py3-nwdiag-pyc-3.0.0-r3.apk
77.88KB
2024-10-25 23:07:11
py3-okonomiyaki-2.0.0-r1.apk
7.89MB
2025-10-14 21:13:27
py3-okonomiyaki-pyc-2.0.0-r1.apk
243.09KB
2025-10-14 21:13:27
py3-openapi-codec-1.3.2-r9.apk
7.65KB
2024-10-25 23:07:11
py3-openapi-codec-pyc-1.3.2-r9.apk
11.74KB
2024-10-25 23:07:11
py3-opendht-3.1.11-r0.apk
154.80KB
2025-01-27 22:56:38
py3-openssh-wrapper-0.5_git20130425-r4.apk
8.24KB
2024-10-25 23:07:11
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
10.42KB
2024-10-25 23:07:11
py3-openwisp-utils-1.0.4-r4.apk
497.64KB
2024-10-25 23:07:11
py3-openwisp-utils-pyc-1.0.4-r4.apk
42.26KB
2024-10-25 23:07:11
py3-orderedmultidict-1.0.1-r7.apk
11.87KB
2024-10-25 23:07:11
py3-orderedmultidict-pyc-1.0.1-r7.apk
17.20KB
2024-10-25 23:07:11
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
9.97KB
2024-10-25 23:07:11
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
8.22KB
2024-10-25 23:07:11
py3-ovos-backend-client-1.0.0-r0.apk
45.92KB
2024-10-25 23:07:11
py3-ovos-backend-client-pyc-1.0.0-r0.apk
91.05KB
2024-10-25 23:07:11
py3-ovos-bus-client-1.3.4-r0.apk
49.96KB
2025-04-29 22:46:40
py3-ovos-bus-client-pyc-1.3.4-r0.apk
89.01KB
2025-04-29 22:46:40
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
102.97KB
2024-10-25 23:07:11
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
163.52KB
2024-10-25 23:07:11
py3-ovos-config-2.1.1-r0.apk
47.22KB
2025-07-15 21:37:24
py3-ovos-config-pyc-2.1.1-r0.apk
34.79KB
2025-07-15 21:37:24
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
357.78KB
2024-10-25 23:07:11
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
436.70KB
2024-10-25 23:07:11
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
8.87KB
2025-07-15 23:03:31
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
4.76KB
2025-07-15 23:03:31
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
550.23KB
2024-10-25 23:07:11
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
86.04KB
2024-10-25 23:07:11
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
46.97KB
2024-10-25 23:07:11
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
103.57KB
2024-10-25 23:07:11
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
8.07KB
2024-10-25 23:07:11
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
3.40KB
2024-10-25 23:07:11
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
11.09KB
2025-07-15 23:03:31
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
8.88KB
2025-07-15 23:03:31
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
8.17KB
2025-07-15 23:03:31
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
3.89KB
2025-07-15 23:03:31
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
8.81KB
2025-07-15 23:03:31
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
5.07KB
2025-07-15 23:03:31
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
4.52KB
2024-10-25 23:07:11
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
4.37KB
2024-10-25 23:07:11
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
95.11KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
11.22KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
11.92KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
9.66KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-system-1.3.3-r0.apk
10.95KB
2025-07-15 20:20:57
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
10.06KB
2025-07-15 20:20:57
py3-ovos-plugin-manager-1.0.3-r0.apk
86.46KB
2025-07-15 22:34:44
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
166.84KB
2025-07-15 22:34:44
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
10.08KB
2024-10-25 23:07:11
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
6.77KB
2024-10-25 23:07:11
py3-ovos-translate-server-plugin-0.0.0-r0.apk
8.33KB
2024-10-25 23:07:11
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
4.11KB
2024-10-25 23:07:11
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
9.51KB
2024-10-25 23:07:11
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
5.44KB
2024-10-25 23:07:11
py3-ovos-utils-0.8.1-r0.apk
72.57KB
2025-07-15 23:03:31
py3-ovos-utils-pyc-0.8.1-r0.apk
130.30KB
2025-07-15 23:03:31
py3-ovos-workshop-7.0.6-r0.apk
92.19KB
2025-07-15 22:29:56
py3-ovos-workshop-pyc-7.0.6-r0.apk
165.47KB
2025-07-15 22:29:56
py3-owslib-0.35.0-r0.apk
195.29KB
2025-11-02 21:15:12
py3-owslib-pyc-0.35.0-r0.apk
424.87KB
2025-11-02 21:15:12
py3-pacparser-1.4.5-r1.apk
391.38KB
2024-10-25 23:07:11
py3-pacparser-pyc-1.4.5-r1.apk
4.04KB
2024-10-25 23:07:11
py3-padacioso-0.2.1-r0.apk
11.45KB
2024-10-25 23:07:11
py3-padacioso-pyc-0.2.1-r0.apk
12.28KB
2024-10-25 23:07:11
py3-pam-2.0.2-r2.apk
11.19KB
2024-10-25 23:07:11
py3-pam-pyc-2.0.2-r2.apk
12.96KB
2024-10-25 23:07:11
py3-pathvalidate-3.3.1-r0.apk
19.09KB
2025-07-15 11:22:16
py3-pathvalidate-pyc-3.3.1-r0.apk
33.59KB
2025-07-15 11:22:16
py3-pbkdf2-1.3-r7.apk
6.29KB
2024-10-25 23:07:11
py3-pbkdf2-pyc-1.3-r7.apk
7.07KB
2024-10-25 23:07:11
py3-pdal-3.4.5-r1.apk
165.24KB
2025-06-22 00:24:03
py3-pdal-pyc-3.4.5-r1.apk
13.09KB
2025-06-22 00:24:03
py3-pdoc-15.0.4-r0.apk
132.77KB
2025-10-13 00:19:37
py3-pdoc-pyc-15.0.4-r0.apk
168.97KB
2025-10-13 00:19:37
py3-pelican-4.9.1-r2.apk
233.72KB
2024-10-25 23:07:11
py3-pelican-pyc-4.9.1-r2.apk
147.30KB
2024-10-25 23:07:11
py3-pep8-naming-0.14.1-r0.apk
9.77KB
2024-10-25 23:07:11
py3-pep8-naming-pyc-0.14.1-r0.apk
13.20KB
2024-10-25 23:07:11
py3-phpserialize-1.3-r8.apk
8.85KB
2024-10-25 23:07:11
py3-phpserialize-pyc-1.3-r8.apk
10.70KB
2024-10-25 23:07:11
py3-phx-class-registry-5.0.0-r0.apk
13.14KB
2024-10-25 23:07:11
py3-phx-class-registry-doc-5.0.0-r0.apk
2.22KB
2024-10-25 23:07:11
py3-phx-class-registry-pyc-5.0.0-r0.apk
17.41KB
2024-10-25 23:07:11
py3-piccata-2.0.3-r1.apk
20.08KB
2024-10-25 23:07:11
py3-piccata-pyc-2.0.3-r1.apk
34.22KB
2024-10-25 23:07:11
py3-pickle-secure-0.99.9-r1.apk
7.50KB
2024-10-25 23:07:11
py3-pickle-secure-pyc-0.99.9-r1.apk
5.36KB
2024-10-25 23:07:11
py3-pigpio-79-r4.apk
93.15KB
2024-10-25 23:07:11
py3-pillow_heif-0.18.0-r0.apk
40.73KB
2024-10-25 23:07:11
py3-pillow_heif-pyc-0.18.0-r0.apk
35.54KB
2024-10-25 23:07:11
py3-pip-system-certs-4.0-r1.apk
6.99KB
2024-10-25 23:07:11
py3-pip-system-certs-pyc-4.0-r1.apk
4.65KB
2024-10-25 23:07:11
py3-piper-phonemize-2023.11.14.4-r9.apk
139.84KB
2025-07-15 11:22:16
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk
3.35KB
2025-07-15 11:22:16
py3-playsound-1.3.0-r1.apk
6.86KB
2024-10-25 23:07:11
py3-playsound-pyc-1.3.0-r1.apk
8.54KB
2024-10-25 23:07:11
py3-plotly-5.24.1-r2.apk
20.49MB
2025-04-19 01:10:48
py3-pltable-1.1.0-r1.apk
18.58KB
2024-11-13 08:28:29
py3-pltable-pyc-1.1.0-r1.apk
33.48KB
2024-11-13 08:28:29
py3-pockethernet-0.7.0-r4.apk
14.78KB
2024-10-25 23:07:11
py3-pockethernet-pyc-0.7.0-r4.apk
25.21KB
2024-10-25 23:07:11
py3-poetry-dynamic-versioning-1.9.1-r0.apk
20.60KB
2025-07-26 02:41:46
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
26.39KB
2025-07-26 02:41:46
py3-poppler-qt5-21.3.0-r2.apk
117.52KB
2025-01-29 21:00:27
py3-ppk2-api-0.9.2-r0.apk
16.48KB
2024-11-30 22:52:07
py3-ppk2-api-pyc-0.9.2-r0.apk
16.51KB
2024-11-30 22:52:07
py3-pprintpp-0.4.0-r1.apk
13.90KB
2024-10-25 23:07:11
py3-pprintpp-pyc-0.4.0-r1.apk
16.28KB
2024-10-25 23:07:11
py3-prctl-1.8.1-r0.apk
12.93KB
2025-10-20 16:57:21
py3-prctl-pyc-1.8.1-r0.apk
7.24KB
2025-10-20 16:57:21
py3-prefixed-0.9.0-r0.apk
14.36KB
2025-08-28 12:31:17
py3-prefixed-pyc-0.9.0-r0.apk
8.61KB
2025-08-28 12:31:17
py3-print-color-0.4.6-r0.apk
8.86KB
2024-10-25 23:07:11
py3-print-color-doc-0.4.6-r0.apk
2.62KB
2024-10-25 23:07:11
py3-print-color-pyc-0.4.6-r0.apk
4.97KB
2024-10-25 23:07:11
py3-priority-2.0.0-r0.apk
9.87KB
2025-07-24 04:27:28
py3-priority-pyc-2.0.0-r0.apk
9.33KB
2025-07-24 04:27:28
py3-proglog-0.1.10-r2.apk
7.38KB
2024-10-25 23:07:11
py3-proglog-pyc-0.1.10-r2.apk
9.92KB
2024-10-25 23:07:11
py3-protego-0.3.1-r0.apk
9.34KB
2024-11-30 22:18:55
py3-protego-pyc-0.3.1-r0.apk
11.56KB
2024-11-30 22:18:55
py3-proxmoxer-2.2.0-r0.apk
16.85KB
2024-12-16 13:36:16
py3-proxmoxer-pyc-2.2.0-r0.apk
27.73KB
2024-12-16 13:36:16
py3-pure_protobuf-3.1.2-r0.apk
21.12KB
2024-10-25 23:07:11
py3-pure_protobuf-pyc-3.1.2-r0.apk
37.68KB
2024-10-25 23:07:11
py3-py-radix-0.10.0-r10.apk
18.42KB
2024-10-25 23:07:11
py3-py-radix-pyc-0.10.0-r10.apk
10.62KB
2024-10-25 23:07:11
py3-pyatem-0.5.0-r4.apk
52.02KB
2024-10-25 23:07:11
py3-pyatem-pyc-0.5.0-r4.apk
91.62KB
2024-10-25 23:07:11
py3-pyautogui-0.9.53-r5.apk
35.07KB
2024-10-25 23:07:11
py3-pyautogui-pyc-0.9.53-r5.apk
45.27KB
2024-10-25 23:07:11
py3-pybars3-0.9.7-r6.apk
14.84KB
2024-10-25 23:07:11
py3-pybars3-pyc-0.9.7-r6.apk
16.83KB
2024-10-25 23:07:11
py3-pycaption-2.2.15-r0.apk
360.07KB
2024-10-25 23:07:11
py3-pycolorterm-0.2.1-r6.apk
5.46KB
2024-10-25 23:07:11
py3-pycolorterm-pyc-0.2.1-r6.apk
3.73KB
2024-10-25 23:07:11
py3-pycosat-0.6.6-r2.apk
44.50KB
2024-10-25 23:07:11
py3-pydes-2.0.1-r5.apk
10.68KB
2024-10-25 23:07:11
py3-pydes-doc-2.0.1-r5.apk
3.64KB
2024-10-25 23:07:11
py3-pydes-pyc-2.0.1-r5.apk
13.17KB
2024-10-25 23:07:11
py3-pygelbooru-1.0.0-r0.apk
20.44KB
2025-07-30 07:28:32
py3-pygelbooru-pyc-1.0.0-r0.apk
11.50KB
2025-07-30 07:28:32
py3-pygfm-2.0.0-r2.apk
12.73KB
2024-10-25 23:07:11
py3-pygfm-pyc-2.0.0-r2.apk
13.20KB
2024-10-25 23:07:11
py3-pyglet-2.1.5-r1.apk
889.91KB
2025-08-27 17:45:10
py3-pyglet-pyc-2.1.5-r1.apk
1.62MB
2025-08-27 17:45:10
py3-pyglm-2.7.3-r0.apk
1.43MB
2024-11-06 11:42:12
py3-pygpgme-0.3.1-r9.apk
35.04KB
2024-10-25 23:07:12
py3-pygpgme-pyc-0.3.1-r9.apk
5.07KB
2024-10-25 23:07:12
py3-pygtail-0.14.0-r3.apk
14.98KB
2024-10-25 23:07:12
py3-pygtail-pyc-0.14.0-r3.apk
10.09KB
2024-10-25 23:07:12
py3-pyinstaller-6.6.0-r0.apk
1.88MB
2024-10-25 23:07:12
py3-pyinstaller-pyc-6.6.0-r0.apk
502.25KB
2024-10-25 23:07:12
py3-pyinstrument-5.1.1-r0.apk
109.62KB
2025-08-13 08:17:43
py3-pyinstrument-pyc-5.1.1-r0.apk
100.50KB
2025-08-13 08:17:43
py3-pyisbn-1.3.1-r3.apk
20.45KB
2024-10-25 23:07:12
py3-pyisbn-pyc-1.3.1-r3.apk
9.41KB
2024-10-25 23:07:12
py3-pylru-1.2.1-r1.apk
16.57KB
2024-10-25 23:07:12
py3-pylru-pyc-1.2.1-r1.apk
8.95KB
2024-10-25 23:07:12
py3-pymaging-0.0.20130908-r10.apk
17.99KB
2024-10-25 23:07:12
py3-pymaging-png-0.0.20130727-r10.apk
35.02KB
2024-10-25 23:07:12
py3-pymaging-png-pyc-0.0.20130727-r10.apk
51.92KB
2024-10-25 23:07:12
py3-pymaging-pyc-0.0.20130908-r10.apk
31.67KB
2024-10-25 23:07:12
py3-pymata-2.20-r4.apk
22.50KB
2024-10-25 23:07:12
py3-pymata-pyc-2.20-r4.apk
29.40KB
2024-10-25 23:07:12
py3-pymata4-1.15-r4.apk
23.05KB
2024-10-25 23:07:12
py3-pymata4-pyc-1.15-r4.apk
30.82KB
2024-10-25 23:07:12
py3-pymeta3-0.5.1-r6.apk
16.74KB
2024-10-25 23:07:12
py3-pymeta3-pyc-0.5.1-r6.apk
31.55KB
2024-10-25 23:07:12
py3-pymsgbox-1.0.9-r5.apk
9.16KB
2024-10-25 23:07:12
py3-pymsgbox-pyc-1.0.9-r5.apk
9.84KB
2024-10-25 23:07:12
py3-pymsteams-0.2.5-r0.apk
12.35KB
2025-02-17 14:07:18
py3-pymsteams-pyc-0.2.5-r0.apk
6.54KB
2025-02-17 14:07:18
py3-pymupdf-1.26.4-r1.apk
320.03KB
2025-11-13 13:02:24
py3-pymupdf-pyc-1.26.4-r1.apk
500.26KB
2025-11-13 13:02:24
py3-pynest2d-5.2.2-r5.apk
243.49KB
2025-02-06 06:42:36
py3-pyparted-3.13.0-r1.apk
75.63KB
2024-10-25 23:07:12
py3-pyparted-pyc-3.13.0-r1.apk
42.17KB
2024-10-25 23:07:12
py3-pypubsub-4.0.3-r0.apk
52.39KB
2024-10-25 23:07:12
py3-pypubsub-doc-4.0.3-r0.apk
2.14KB
2024-10-25 23:07:12
py3-pypubsub-pyc-4.0.3-r0.apk
89.91KB
2024-10-25 23:07:12
py3-pyqrcode-1.2.1-r0.apk
36.56KB
2024-10-25 23:07:12
py3-pyqrcode-doc-1.2.1-r0.apk
4.30KB
2024-10-25 23:07:12
py3-pyqrcode-pyc-1.2.1-r0.apk
47.27KB
2024-10-25 23:07:12
py3-pyrebase-3.0.27-r5.apk
9.62KB
2024-10-25 23:07:12
py3-pyrebase-pyc-3.0.27-r5.apk
17.56KB
2024-10-25 23:07:12
py3-pyroma-4.2-r0.apk
21.87KB
2024-10-25 23:07:12
py3-pyroma-pyc-4.2-r0.apk
25.95KB
2024-10-25 23:07:12
py3-pyscreeze-0.1.29-r3.apk
14.11KB
2024-10-25 23:07:12
py3-pyscreeze-pyc-0.1.29-r3.apk
14.19KB
2024-10-25 23:07:12
py3-pysimplesoap-1.16.2-r7.apk
43.67KB
2024-10-25 23:07:12
py3-pysimplesoap-pyc-1.16.2-r7.apk
78.17KB
2024-10-25 23:07:12
py3-pysonic-1.0.3-r0.apk
34.76KB
2025-04-17 01:35:18
py3-pysonic-pyc-1.0.3-r0.apk
32.23KB
2025-04-17 01:35:18
py3-pyspinel-1.0.3-r1.apk
56.01KB
2024-10-25 23:07:12
py3-pyspinel-pyc-1.0.3-r1.apk
63.04KB
2024-10-25 23:07:12
py3-pysrt-1.1.2-r5.apk
25.48KB
2025-05-15 00:14:17
py3-pysrt-pyc-1.1.2-r5.apk
22.75KB
2025-05-15 00:14:17
py3-pystache-0.6.5-r1.apk
68.11KB
2024-10-25 23:07:12
py3-pystache-pyc-0.6.5-r1.apk
96.67KB
2024-10-25 23:07:12
py3-pysubs2-1.8.0-r0.apk
35.92KB
2024-12-26 00:09:47
py3-pysubs2-pyc-1.8.0-r0.apk
67.71KB
2024-12-26 00:09:47
py3-pytaglib-3.0.0-r0.apk
39.03KB
2025-01-26 22:17:43
py3-pytaglib-pyc-3.0.0-r0.apk
2.87KB
2025-01-26 22:17:43
py3-pytap2-2.3.0-r0.apk
6.97KB
2024-10-25 23:07:12
py3-pytap2-doc-2.3.0-r0.apk
2.79KB
2024-10-25 23:07:12
py3-pytap2-pyc-2.3.0-r0.apk
5.99KB
2024-10-25 23:07:12
py3-pyte-0.8.2-r3.apk
29.91KB
2025-05-15 00:14:17
py3-pyte-pyc-0.8.2-r3.apk
39.18KB
2025-05-15 00:14:17
py3-pytest-datadir-1.8.0-r0.apk
7.08KB
2025-08-05 15:48:23
py3-pytest-datadir-pyc-1.8.0-r0.apk
5.39KB
2025-08-05 15:48:23
py3-pytest-expect-1.1.0-r10.apk
5.89KB
2024-10-25 23:07:12
py3-pytest-expect-pyc-1.1.0-r10.apk
6.95KB
2024-10-25 23:07:12
py3-pytest-home-0.6.0-r0.apk
4.54KB
2024-10-25 23:07:12
py3-pytest-home-pyc-0.6.0-r0.apk
2.80KB
2024-10-25 23:07:12
py3-pytest-html-4.1.1-r1.apk
21.62KB
2024-10-25 23:07:12
py3-pytest-html-pyc-4.1.1-r1.apk
21.75KB
2024-10-25 23:07:12
py3-pytest-metadata-3.1.1-r0.apk
10.19KB
2024-10-25 23:07:12
py3-pytest-metadata-pyc-3.1.1-r0.apk
7.90KB
2024-10-25 23:07:12
py3-pytest-regtest-2.3.5-r0.apk
16.07KB
2025-10-12 16:32:19
py3-pytest-regtest-pyc-2.3.5-r0.apk
30.26KB
2025-10-12 16:32:19
py3-pytest-subprocess-1.5.2-r0.apk
19.51KB
2024-10-25 23:07:12
py3-pytest-subprocess-pyc-1.5.2-r0.apk
24.51KB
2024-10-25 23:07:12
py3-pytest-textual-snapshot-1.1.0-r0.apk
9.18KB
2025-08-08 15:57:59
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
10.52KB
2025-08-08 15:57:59
py3-python-archive-0.2-r7.apk
7.40KB
2024-10-25 23:07:12
py3-python-archive-pyc-0.2-r7.apk
9.35KB
2024-10-25 23:07:12
py3-python-iptables-1.2.0-r1.apk
37.73KB
2025-12-12 17:43:07
py3-python-iptables-pyc-1.2.0-r1.apk
67.90KB
2025-12-12 17:43:07
py3-python-jwt-4.1.0-r2.apk
8.06KB
2025-05-16 00:22:44
py3-python-jwt-pyc-4.1.0-r2.apk
6.47KB
2025-05-16 00:22:44
py3-python-logstash-0.4.8-r4.apk
8.60KB
2024-10-25 23:07:12
py3-python-logstash-doc-0.4.8-r4.apk
2.26KB
2024-10-25 23:07:12
py3-python-logstash-pyc-0.4.8-r4.apk
8.41KB
2024-10-25 23:07:12
py3-python-stdnum-1.20-r0.apk
805.71KB
2024-10-25 23:07:12
py3-python-stdnum-pyc-1.20-r0.apk
293.50KB
2024-10-25 23:07:12
py3-pyvcd-0.4.1-r0.apk
22.67KB
2024-11-11 23:46:18
py3-pyvcd-pyc-0.4.1-r0.apk
40.45KB
2024-11-11 23:46:18
py3-pyzor-1.1.2-r0.apk
40.32KB
2025-08-14 09:26:38
py3-pyzor-pyc-1.1.2-r0.apk
53.98KB
2025-08-14 09:26:38
py3-qasync-0.19.0-r2.apk
36.87KB
2024-10-25 23:07:12
py3-qpageview-0.6.2-r1.apk
98.05KB
2024-10-25 23:07:12
py3-qpageview-doc-0.6.2-r1.apk
56.29KB
2024-10-25 23:07:12
py3-qpageview-pyc-0.6.2-r1.apk
180.23KB
2024-10-25 23:07:12
py3-quart-0.20.0-r0.apk
68.41KB
2025-07-24 04:27:28
py3-quart-pyc-0.20.0-r0.apk
147.02KB
2025-07-24 04:27:28
py3-quebra-frases-0.3.7-r1.apk
8.81KB
2024-10-25 23:07:12
py3-quebra-frases-pyc-0.3.7-r1.apk
7.81KB
2024-10-25 23:07:12
py3-queuelib-1.8.0-r0.apk
12.41KB
2025-09-01 21:13:46
py3-queuelib-pyc-1.8.0-r0.apk
24.48KB
2025-09-01 21:13:46
py3-rabbit-1.1.0-r8.apk
10.94KB
2024-10-25 23:07:12
py3-rabbit-pyc-1.1.0-r8.apk
15.49KB
2024-10-25 23:07:12
py3-radon-6.0.1-r2.apk
31.52KB
2024-10-25 23:07:12
py3-radon-doc-6.0.1-r2.apk
5.14KB
2024-10-25 23:07:12
py3-radon-pyc-6.0.1-r2.apk
49.57KB
2024-10-25 23:07:12
py3-recommonmark-0.7.1-r4.apk
11.74KB
2024-10-25 23:07:12
py3-recommonmark-pyc-0.7.1-r4.apk
17.51KB
2024-10-25 23:07:12
py3-recurring-ical-events-3.8.0-r0.apk
38.88KB
2025-06-15 07:01:38
py3-recurring-ical-events-pyc-3.8.0-r0.apk
49.88KB
2025-06-15 07:01:38
py3-redmine-2.5.0-r0.apk
36.78KB
2024-10-25 23:07:12
py3-redmine-pyc-2.5.0-r0.apk
53.59KB
2024-10-25 23:07:12
py3-remind-0.19.2-r0.apk
24.43KB
2025-04-23 00:28:36
py3-remind-pyc-0.19.2-r0.apk
22.52KB
2025-04-23 00:28:36
py3-requests-cache-1.2.1-r1.apk
49.93KB
2024-11-20 00:34:17
py3-requests-cache-pyc-1.2.1-r1.apk
94.21KB
2024-11-20 00:34:17
py3-requests-kerberos-0.15.0-r0.apk
12.10KB
2024-12-01 19:24:14
py3-requests-kerberos-pyc-0.15.0-r0.apk
10.93KB
2024-12-01 19:24:14
py3-requests-wsgi-adapter-0.4.1-r1.apk
5.51KB
2024-10-25 23:07:12
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
6.60KB
2024-10-25 23:07:12
py3-rfc-bibtex-0.3.2-r7.apk
12.87KB
2024-10-25 23:07:12
py3-rfc-bibtex-pyc-0.3.2-r7.apk
12.44KB
2024-10-25 23:07:12
py3-rich-click-1.7.3-r1.apk
30.73KB
2024-10-25 23:07:12
py3-rich-click-pyc-1.7.3-r1.apk
40.33KB
2024-10-25 23:07:12
py3-riotctrl-0.5.0-r4.apk
13.04KB
2024-10-25 23:07:12
py3-riotctrl-pyc-0.5.0-r4.apk
10.71KB
2024-10-25 23:07:12
py3-rns-1.0.0-r0.apk
344.20KB
2025-09-23 22:39:58
py3-rns-pyc-1.0.0-r0.apk
704.54KB
2025-09-23 22:39:58
py3-rofi-1.0.1-r1.apk
12.16KB
2025-08-09 02:40:01
py3-rofi-pyc-1.0.1-r1.apk
11.75KB
2025-08-09 02:40:01
py3-rosdistro-0.9.0-r3.apk
47.07KB
2024-10-25 23:07:12
py3-rosdistro-pyc-0.9.0-r3.apk
91.26KB
2024-10-25 23:07:12
py3-rospkg-1.2.9-r5.apk
28.80KB
2024-10-25 23:07:12
py3-rospkg-pyc-1.2.9-r5.apk
54.12KB
2024-10-25 23:07:12
py3-rpio-0.10.1-r8.apk
35.75KB
2024-10-25 23:07:12
py3-rpio-pyc-0.10.1-r8.apk
15.95KB
2024-10-25 23:07:12
py3-rst-0.1-r9.apk
5.56KB
2024-10-25 23:07:12
py3-rst-pyc-0.1-r9.apk
6.11KB
2024-10-25 23:07:12
py3-rst.linker-2.6.0-r0.apk
6.09KB
2024-10-25 23:07:12
py3-rst.linker-pyc-2.6.0-r0.apk
6.65KB
2024-10-25 23:07:12
py3-rst2ansi-0.1.5-r0.apk
12.16KB
2024-10-25 23:07:12
py3-rst2ansi-doc-0.1.5-r0.apk
2.24KB
2024-10-25 23:07:12
py3-rst2ansi-pyc-0.1.5-r0.apk
23.97KB
2024-10-25 23:07:12
py3-rst2pdf-0.102-r0.apk
154.50KB
2025-06-10 22:49:07
py3-rst2pdf-pyc-0.102-r0.apk
205.79KB
2025-06-10 22:49:07
py3-rtree-1.4.1-r0.apk
26.44KB
2025-08-14 13:10:05
py3-rtree-pyc-1.4.1-r0.apk
48.11KB
2025-08-14 13:10:05
py3-schema-0.7.7-r0.apk
19.42KB
2025-07-07 19:08:28
py3-schema-pyc-0.7.7-r0.apk
20.52KB
2025-07-07 19:08:28
py3-scour-0.38.2-r1.apk
56.39KB
2024-10-25 23:07:12
py3-scour-pyc-0.38.2-r1.apk
73.77KB
2024-10-25 23:07:12
py3-scrapy-2.11.1-r1.apk
239.98KB
2024-10-25 23:07:12
py3-scrapy-pyc-2.11.1-r1.apk
482.01KB
2024-10-25 23:07:12
py3-scs-3.2.3-r4.apk
101.33KB
2024-10-25 23:07:12
py3-scs-pyc-3.2.3-r4.apk
4.92KB
2024-10-25 23:07:12
py3-senf-1.5.0-r0.apk
20.19KB
2025-06-27 05:58:51
py3-senf-pyc-1.5.0-r0.apk
32.50KB
2025-06-27 05:58:51
py3-seqdiag-3.0.0-r5.apk
2.46MB
2024-10-25 23:07:12
py3-seqdiag-pyc-3.0.0-r5.apk
42.23KB
2024-10-25 23:07:12
py3-setuptools-lint-0.6.0-r9.apk
5.42KB
2024-10-25 23:07:12
py3-setuptools-lint-pyc-0.6.0-r9.apk
6.00KB
2024-10-25 23:07:12
py3-sh-2.1.0-r0.apk
37.76KB
2024-11-01 17:13:50
py3-sh-pyc-2.1.0-r0.apk
54.86KB
2024-11-01 17:13:50
py3-shodan-1.31.0-r1.apk
44.09KB
2024-10-25 23:07:12
py3-shodan-doc-1.31.0-r1.apk
7.20KB
2024-10-25 23:07:12
py3-shodan-pyc-1.31.0-r1.apk
79.15KB
2024-10-25 23:07:12
py3-simber-0.2.6-r5.apk
11.89KB
2025-05-15 00:14:17
py3-simber-pyc-0.2.6-r5.apk
16.20KB
2025-05-15 00:14:17
py3-simple-websocket-1.1.0-r0.apk
10.87KB
2025-09-06 02:29:14
py3-simple-websocket-doc-1.1.0-r0.apk
2.29KB
2025-09-06 02:29:14
py3-simple-websocket-pyc-1.1.0-r0.apk
22.75KB
2025-09-06 02:29:14
py3-simpleeval-1.0.3-r0.apk
15.62KB
2025-06-24 22:38:26
py3-simpleeval-pyc-1.0.3-r0.apk
16.47KB
2025-06-24 22:38:26
py3-simplematch-1.4-r1.apk
8.00KB
2024-10-25 23:07:12
py3-simplematch-pyc-1.4-r1.apk
5.80KB
2024-10-25 23:07:12
py3-simplesat-0.8.2-r0.apk
214.02KB
2024-10-25 23:07:12
py3-simplesat-pyc-0.8.2-r0.apk
156.57KB
2024-10-25 23:07:12
py3-simplesoapy-1.5.1-r7.apk
7.86KB
2024-10-25 23:07:12
py3-simplesoapy-pyc-1.5.1-r7.apk
11.77KB
2024-10-25 23:07:12
py3-simplespectral-1.0.0-r5.apk
7.50KB
2024-10-25 23:07:12
py3-simplespectral-pyc-1.0.0-r5.apk
8.08KB
2024-10-25 23:07:12
py3-slidge-style-parser-0.1.9-r0.apk
223.30KB
2025-04-12 13:35:21
py3-slidge-style-parser-pyc-0.1.9-r0.apk
1.97KB
2025-04-12 13:35:21
py3-slixmpp-1.8.5-r2.apk
381.94KB
2024-10-25 23:07:12
py3-slixmpp-doc-1.8.5-r2.apk
5.81KB
2024-10-25 23:07:12
py3-slixmpp-pyc-1.8.5-r2.apk
729.49KB
2024-10-25 23:07:12
py3-snapshottest-0.6.0-r5.apk
15.11KB
2024-10-25 23:07:12
py3-snapshottest-pyc-0.6.0-r5.apk
25.73KB
2024-10-25 23:07:12
py3-soappy-0.52.30-r0.apk
47.26KB
2024-12-03 00:54:59
py3-soappy-pyc-0.52.30-r0.apk
95.28KB
2024-12-03 00:54:59
py3-soapy_power-1.6.1-r5.apk
17.49KB
2024-10-25 23:07:12
py3-soapy_power-pyc-1.6.1-r5.apk
26.52KB
2024-10-25 23:07:12
py3-socketio-5.13.0-r0.apk
62.22KB
2025-09-06 02:29:14
py3-socketio-doc-5.13.0-r0.apk
36.01KB
2025-09-06 02:29:14
py3-socketio-pyc-5.13.0-r0.apk
126.73KB
2025-09-06 02:29:14
py3-sortedcollections-2.1.0-r5.apk
10.66KB
2024-10-25 23:07:12
py3-sortedcollections-pyc-2.1.0-r5.apk
13.87KB
2024-10-25 23:07:12
py3-spake2-0.9-r0.apk
30.14KB
2024-10-25 23:07:12
py3-spake2-pyc-0.9-r0.apk
43.51KB
2024-10-25 23:07:12
py3-sphinx-argparse-0.5.2-r0.apk
14.19KB
2024-10-25 23:07:12
py3-sphinx-argparse-pyc-0.5.2-r0.apk
22.11KB
2024-10-25 23:07:12
py3-sphinx-autoapi-3.6.1-r0.apk
31.34KB
2025-10-11 13:59:37
py3-sphinx-autoapi-pyc-3.6.1-r0.apk
58.92KB
2025-10-11 13:59:37
py3-sphinx-theme-better-0.1.5-r7.apk
10.52KB
2024-10-25 23:07:12
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
1.98KB
2024-10-25 23:07:12
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
1.16MB
2024-10-25 23:07:12
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2.35KB
2024-10-25 23:07:12
py3-sphinx-theme-bw-0.1.8-r7.apk
64.76KB
2024-10-25 23:07:12
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
1.83KB
2024-10-25 23:07:12
py3-sphinx-theme-cloud-1.10.0-r2.apk
81.30KB
2024-10-25 23:07:12
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
42.77KB
2024-10-25 23:07:12
py3-sphinx-theme-epfl-1.1.1-r9.apk
29.85KB
2024-10-25 23:07:12
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2.47KB
2024-10-25 23:07:12
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2.45MB
2024-10-25 23:07:12
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
5.51KB
2024-10-25 23:07:12
py3-sphinx-theme-readable-1.3.0-r9.apk
8.82KB
2024-10-25 23:07:12
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2.21KB
2024-10-25 23:07:12
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
7.64KB
2024-10-25 23:07:12
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
9.28KB
2024-10-25 23:07:12
py3-sphinxcontrib-adadomain-0.2-r9.apk
9.01KB
2024-10-25 23:07:12
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
11.98KB
2024-10-25 23:07:12
py3-sphinxcontrib-bitbucket-1.0-r8.apk
5.67KB
2024-10-25 23:07:12
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
4.08KB
2024-10-25 23:07:12
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
7.54KB
2024-10-25 23:07:12
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
9.15KB
2024-10-25 23:07:12
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
5.73KB
2024-10-25 23:07:12
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
4.25KB
2024-10-25 23:07:12
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
17.92KB
2024-10-25 23:07:12
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
33.50KB
2024-10-25 23:07:12
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
9.12KB
2024-10-25 23:07:12
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
3.48KB
2024-10-25 23:07:12
py3-sphinxcontrib-gist-0.1.0-r9.apk
3.91KB
2024-10-25 23:07:12
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
3.33KB
2024-10-25 23:07:12
py3-sphinxcontrib-git-11.0.0-r7.apk
17.13KB
2024-10-25 23:07:12
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
6.62KB
2024-10-25 23:07:12
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
7.86KB
2024-10-25 23:07:12
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
7.62KB
2024-10-25 23:07:12
py3-sphinxcontrib-htsql-0.1.5-r8.apk
10.55KB
2024-10-25 23:07:12
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
15.03KB
2024-10-25 23:07:12
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
18.10KB
2024-10-25 23:07:12
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
4.33KB
2024-10-25 23:07:12
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
33.89KB
2024-10-25 23:07:12
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
21.37KB
2024-10-25 23:07:12
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
43.84KB
2024-10-25 23:07:12
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
10.53KB
2024-10-25 23:07:12
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
15.08KB
2024-10-25 23:07:12
py3-sphinxcontrib-issuetracker-0.11-r7.apk
11.09KB
2024-10-25 23:07:12
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
11.94KB
2024-10-25 23:07:12
py3-sphinxcontrib-lassodomain-0.4-r8.apk
7.92KB
2024-10-25 23:07:12
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
10.60KB
2024-10-25 23:07:12
py3-sphinxcontrib-manpage-0.6-r8.apk
4.14KB
2024-10-25 23:07:12
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
3.15KB
2024-10-25 23:07:12
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
10.26KB
2025-05-15 00:14:17
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
16.03KB
2025-05-15 00:14:17
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
8.71KB
2024-10-25 23:07:12
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
11.19KB
2024-10-25 23:07:12
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
11.34KB
2025-07-15 11:22:16
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
17.20KB
2025-07-15 11:22:16
py3-sphinxcontrib-programoutput-0.17-r5.apk
16.47KB
2024-10-25 23:07:12
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
23.85KB
2024-10-25 23:07:12
py3-sphinxcontrib-restbuilder-0.3-r6.apk
11.32KB
2024-10-25 23:07:12
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
20.50KB
2024-10-25 23:07:12
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
7.65KB
2024-10-25 23:07:12
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
9.30KB
2024-10-25 23:07:12
py3-sphinxcontrib-slide-1.0.0-r4.apk
4.88KB
2025-05-15 00:14:17
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
5.56KB
2025-05-15 00:14:17
py3-sphinxcontrib-spelling-8.0.1-r0.apk
13.19KB
2025-07-15 11:22:16
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
18.93KB
2025-07-15 11:22:16
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
7.34KB
2024-10-25 23:07:12
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
4.93KB
2024-10-25 23:07:12
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
6.11KB
2024-10-25 23:07:12
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
5.65KB
2024-10-25 23:07:12
py3-spidev-3.6-r1.apk
13.50KB
2024-10-25 23:07:12
py3-spin-0.8-r0.apk
18.52KB
2024-10-25 23:07:12
py3-spin-pyc-0.8-r0.apk
24.49KB
2024-10-25 23:07:12
py3-spinners-0.0.24-r5.apk
6.09KB
2024-10-25 23:07:12
py3-spinners-pyc-0.0.24-r5.apk
6.25KB
2024-10-25 23:07:12
py3-spnego-0.11.2-r0.apk
117.91KB
2025-01-16 09:52:04
py3-spnego-pyc-0.11.2-r0.apk
219.07KB
2025-01-16 09:52:04
py3-spotipy-2.24.0-r3.apk
29.61KB
2025-10-14 18:05:00
py3-spotipy-pyc-2.24.0-r3.apk
49.49KB
2025-10-14 18:05:00
py3-sqlmodel-0.0.22-r1.apk
26.32KB
2024-12-07 01:03:45
py3-sqlmodel-pyc-0.0.22-r1.apk
41.18KB
2024-12-07 01:03:45
py3-sssd-2.11.1-r2.apk
56.29KB
2025-11-21 00:15:41
py3-sssd-pyc-2.11.1-r2.apk
48.62KB
2025-11-21 00:15:41
py3-sstash-0.17-r9.apk
7.72KB
2024-10-25 23:07:12
py3-sstash-pyc-0.17-r9.apk
10.29KB
2024-10-25 23:07:12
py3-svglib-1.5.1-r0.apk
29.90KB
2025-06-10 22:49:07
py3-svglib-doc-1.5.1-r0.apk
2.08KB
2025-06-10 22:49:07
py3-svglib-pyc-1.5.1-r0.apk
42.42KB
2025-06-10 22:49:07
py3-svgpath-7.0-r0.apk
18.60KB
2025-07-08 09:16:34
py3-svgpath-pyc-7.0-r0.apk
23.81KB
2025-07-08 09:16:34
py3-swagger-ui-bundle-1.1.0-r1.apk
2.48MB
2024-10-25 23:07:12
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2.10KB
2024-10-25 23:07:12
py3-synapse-auto-accept-invite-1.2.0-r1.apk
9.76KB
2025-08-09 02:40:01
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
5.62KB
2025-08-09 02:40:01
py3-tailer-0.4.1-r7.apk
6.87KB
2024-10-25 23:07:12
py3-tailer-pyc-0.4.1-r7.apk
6.63KB
2024-10-25 23:07:12
py3-tasklib-2.5.1-r2.apk
23.10KB
2024-10-25 23:07:12
py3-tasklib-pyc-2.5.1-r2.apk
51.53KB
2024-10-25 23:07:12
py3-telegram-bot-22.5-r0.apk
497.48KB
2025-11-19 20:29:58
py3-telegram-bot-pyc-22.5-r0.apk
802.47KB
2025-11-19 20:29:58
py3-telegram-text-0.2.0-r1.apk
9.19KB
2024-10-25 23:07:12
py3-telegram-text-pyc-0.2.0-r1.apk
12.95KB
2024-10-25 23:07:12
py3-telemetrix-1.20-r3.apk
20.94KB
2024-10-25 23:07:12
py3-telemetrix-pyc-1.20-r3.apk
31.04KB
2024-10-25 23:07:12
py3-teletype-1.3.4-r3.apk
15.41KB
2024-10-25 23:07:12
py3-teletype-pyc-1.3.4-r3.apk
20.52KB
2024-10-25 23:07:12
py3-testresources-2.0.1-r6.apk
17.35KB
2024-10-25 23:07:12
py3-testresources-pyc-2.0.1-r6.apk
15.95KB
2024-10-25 23:07:12
py3-textual-5.3.0-r0.apk
611.76KB
2025-10-27 10:13:34
py3-textual-pyc-5.3.0-r0.apk
1.19MB
2025-10-27 10:13:35
py3-thefuzz-0.22.1-r1.apk
10.01KB
2024-10-25 23:07:12
py3-thefuzz-pyc-0.22.1-r1.apk
8.95KB
2024-10-25 23:07:12
py3-ticket-auth-0.1.4-r9.apk
5.95KB
2024-10-25 23:07:12
py3-ticket-auth-pyc-0.1.4-r9.apk
6.38KB
2024-10-25 23:07:12
py3-tidalapi-0.8.4-r0.apk
50.12KB
2025-07-15 11:22:16
py3-tidalapi-pyc-0.8.4-r0.apk
90.71KB
2025-07-15 11:22:16
py3-timeago-1.0.16-r0.apk
23.60KB
2024-10-25 23:07:12
py3-timeago-doc-1.0.16-r0.apk
2.85KB
2024-10-25 23:07:12
py3-timeago-pyc-1.0.16-r0.apk
27.66KB
2024-10-25 23:07:12
py3-tls_parser-2.0.2-r0.apk
10.30KB
2025-07-15 11:22:16
py3-tls_parser-pyc-2.0.2-r0.apk
17.16KB
2025-07-15 11:22:16
py3-tlslite-ng-0.7.6-r8.apk
178.50KB
2024-10-25 23:07:12
py3-tlslite-ng-pyc-0.7.6-r8.apk
274.77KB
2024-10-25 23:07:12
py3-tokenizers-0.21.2-r0.apk
1.69MB
2025-06-30 01:42:40
py3-tokenizers-pyc-0.21.2-r0.apk
28.86KB
2025-06-30 01:42:40
py3-tpm2-pytss-2.3.0-r1.apk
285.77KB
2024-10-25 23:07:12
py3-tpm2-pytss-pyc-2.3.0-r1.apk
236.10KB
2024-10-25 23:07:12
py3-trakit-0.2.5-r0.apk
19.25KB
2025-11-24 01:18:00
py3-trakit-pyc-0.2.5-r0.apk
16.68KB
2025-11-24 01:18:00
py3-transitions-0.9.2-r0.apk
97.73KB
2024-10-25 23:07:12
py3-transitions-pyc-0.9.2-r0.apk
128.79KB
2024-10-25 23:07:12
py3-translationstring-1.4-r4.apk
9.15KB
2024-10-25 23:07:12
py3-translationstring-pyc-1.4-r4.apk
8.77KB
2024-10-25 23:07:12
py3-trivup-0.12.2-r2.apk
34.02KB
2024-10-25 23:07:12
py3-trivup-pyc-0.12.2-r2.apk
54.91KB
2024-10-25 23:07:12
py3-truststore-0.10.1-r0.apk
17.49KB
2025-02-10 03:33:02
py3-truststore-pyc-0.10.1-r0.apk
25.51KB
2025-02-10 03:33:02
py3-twiggy-0.5.1-r4.apk
24.13KB
2024-10-25 23:07:12
py3-twiggy-pyc-0.5.1-r4.apk
38.68KB
2024-10-25 23:07:12
py3-typing_inspect-0.9.0-r2.apk
9.91KB
2024-10-25 23:07:12
py3-typing_inspect-pyc-0.9.0-r2.apk
14.23KB
2024-10-25 23:07:12
py3-u-msgpack-2.8.0-r2.apk
10.91KB
2024-10-25 23:07:12
py3-u-msgpack-pyc-2.8.0-r2.apk
16.23KB
2024-10-25 23:07:12
py3-uacme-desec-1.2.1-r0.apk
5.70KB
2024-10-25 23:07:12
py3-uacme-desec-doc-1.2.1-r0.apk
2.19KB
2024-10-25 23:07:12
py3-uacme-desec-pyc-1.2.1-r0.apk
6.77KB
2024-10-25 23:07:12
py3-uc-micro-py-1.0.3-r0.apk
6.54KB
2025-10-27 10:13:35
py3-uc-micro-py-pyc-1.0.3-r0.apk
4.60KB
2025-10-27 10:13:35
py3-unearth-0.18.0-r0.apk
40.76KB
2025-10-14 17:54:37
py3-unearth-pyc-0.18.0-r0.apk
82.33KB
2025-10-14 17:54:37
py3-unicorn-2.1.4-r0.apk
50.58KB
2025-10-14 17:04:07
py3-unicorn-hat-2.1.2-r6.apk
15.63KB
2024-11-06 11:42:12
py3-unicorn-pyc-2.1.4-r0.apk
92.34KB
2025-10-14 17:04:07
py3-unicrypto-0.0.12-r0.apk
58.58KB
2025-11-03 08:49:08
py3-unicrypto-pyc-0.0.12-r0.apk
92.17KB
2025-11-03 08:49:08
py3-unidns-0.0.4-r0.apk
14.38KB
2025-11-03 08:49:08
py3-unidns-examples-0.0.4-r0.apk
2.61KB
2025-11-03 08:49:08
py3-unidns-pyc-0.0.4-r0.apk
24.98KB
2025-11-03 08:49:08
py3-uptime-3.0.1-r9.apk
9.75KB
2024-10-25 23:07:12
py3-uptime-pyc-3.0.1-r9.apk
8.70KB
2024-10-25 23:07:12
py3-urlobject-2.4.3-r9.apk
14.76KB
2024-10-25 23:07:12
py3-urlobject-pyc-2.4.3-r9.apk
24.68KB
2024-10-25 23:07:12
py3-us-3.2.0-r0.apk
14.32KB
2024-10-25 23:07:12
py3-us-pyc-3.2.0-r0.apk
15.19KB
2024-10-25 23:07:12
py3-utc-0.0.3-r9.apk
3.47KB
2024-10-25 23:07:12
py3-utc-pyc-0.0.3-r9.apk
2.76KB
2024-10-25 23:07:12
py3-vatnumber-1.2-r9.apk
18.62KB
2024-10-25 23:07:12
py3-vatnumber-pyc-1.2-r9.apk
8.62KB
2024-10-25 23:07:12
py3-vdf-3.4-r2.apk
11.16KB
2025-10-17 01:04:14
py3-vdf-pyc-3.4-r2.apk
16.52KB
2025-10-17 01:04:14
py3-venusian-3.1.1-r0.apk
14.35KB
2024-12-07 23:14:23
py3-venusian-pyc-3.1.1-r0.apk
12.50KB
2024-12-07 23:14:23
py3-virtualenvwrapper-6.1.1-r0.apk
21.63KB
2025-09-01 03:26:30
py3-virtualenvwrapper-pyc-6.1.1-r0.apk
11.77KB
2025-09-01 03:26:30
py3-visitor-0.1.3-r7.apk
4.62KB
2024-10-25 23:07:12
py3-visitor-pyc-0.1.3-r7.apk
2.62KB
2024-10-25 23:07:12
py3-ward-0.67.0_beta0-r2.apk
40.71KB
2024-10-25 23:07:12
py3-ward-pyc-0.67.0_beta0-r2.apk
79.56KB
2024-10-25 23:07:12
py3-wbdata-1.0.0-r1.apk
18.49KB
2024-10-25 23:07:12
py3-wbdata-pyc-1.0.0-r1.apk
19.57KB
2024-10-25 23:07:12
py3-wg-netns-2.3.1-r1.apk
7.57KB
2024-10-25 23:07:12
py3-wg-netns-pyc-2.3.1-r1.apk
13.39KB
2024-10-25 23:07:12
py3-wgconfig-1.1.0-r0.apk
21.97KB
2025-01-29 08:49:27
py3-wgconfig-pyc-1.1.0-r0.apk
11.70KB
2025-01-29 08:49:27
py3-wifi-0.3.8-r7.apk
13.01KB
2024-10-25 23:07:12
py3-wifi-pyc-0.3.8-r7.apk
13.74KB
2024-10-25 23:07:12
py3-wikipedia-1.4.0-r0.apk
12.21KB
2025-09-14 05:03:16
py3-wikipedia-doc-1.4.0-r0.apk
4.15KB
2025-09-14 05:03:16
py3-wikipedia-pyc-1.4.0-r0.apk
16.20KB
2025-09-14 05:03:16
py3-winacl-0.1.9-r1.apk
82.68KB
2025-05-29 14:57:04
py3-winacl-pyc-0.1.9-r1.apk
131.24KB
2025-05-29 14:57:04
py3-wsgiprox-1.5.2-r1.apk
16.68KB
2024-10-25 23:07:12
py3-wsgiprox-pyc-1.5.2-r1.apk
28.17KB
2024-10-25 23:07:12
py3-wstools-0.4.10-r7.apk
53.21KB
2024-10-25 23:07:12
py3-wstools-pyc-0.4.10-r7.apk
110.75KB
2024-10-25 23:07:12
py3-wtf-peewee-3.0.6-r0.apk
12.72KB
2024-10-25 23:07:12
py3-wtf-peewee-pyc-3.0.6-r0.apk
24.66KB
2024-10-25 23:07:12
py3-x-wr-timezone-2.0.1-r0.apk
11.65KB
2025-02-09 17:13:52
py3-x-wr-timezone-pyc-2.0.1-r0.apk
7.10KB
2025-02-09 17:13:52
py3-xapp-2.4.2-r0.apk
33.61KB
2024-11-12 13:04:07
py3-xdoctest-1.2.0-r0.apk
312.50KB
2024-11-21 15:31:25
py3-xlwt-1.3.0-r10.apk
93.68KB
2025-05-15 00:14:17
py3-xlwt-pyc-1.3.0-r10.apk
164.89KB
2025-05-15 00:14:17
py3-xsdata-25.7-r0.apk
189.68KB
2025-07-07 23:47:51
py3-xsdata-pyc-25.7-r0.apk
392.70KB
2025-07-07 23:47:51
py3-yapsy-1.12.2-r7.apk
32.24KB
2024-10-25 23:07:12
py3-yapsy-pyc-1.12.2-r7.apk
47.14KB
2024-10-25 23:07:12
py3-yara-4.5.4-r0.apk
17.33KB
2025-08-13 18:32:36
py3-yosys-0.57-r0.apk
556.59KB
2025-10-25 00:15:19
py3-youtube-search-1.6.6-r5.apk
78.08KB
2025-05-15 00:14:17
py3-youtube-search-pyc-1.6.6-r5.apk
95.30KB
2025-05-15 00:14:17
py3-zimscraperlib-3.4.0-r0.apk
51.62KB
2024-11-06 11:42:12
py3-zimscraperlib-pyc-3.4.0-r0.apk
68.37KB
2024-11-06 11:42:12
py3-zipfile2-0.0.12-r0.apk
45.15KB
2024-10-25 23:07:12
py3-zipfile2-pyc-0.0.12-r0.apk
28.93KB
2024-10-25 23:07:12
py3-zope-configuration-7.0-r0.apk
38.66KB
2025-12-02 02:39:47
py3-zope-configuration-pyc-7.0-r0.apk
49.00KB
2025-12-02 02:39:47
py3-zope-i18nmessageid-6.1.0-r2.apk
15.34KB
2024-10-25 23:07:12
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
7.99KB
2024-10-25 23:07:12
py3-zope-schema-7.0.1-r3.apk
45.26KB
2024-10-25 23:07:12
py3-zope-schema-pyc-7.0.1-r3.apk
61.07KB
2024-10-25 23:07:12
pyinfra-3.3.1-r0.apk
191.98KB
2025-07-16 11:09:17
pyinfra-pyc-3.3.1-r0.apk
361.03KB
2025-07-16 11:09:17
pympress-1.8.6-r0.apk
179.54KB
2025-11-10 07:00:37
pympress-doc-1.8.6-r0.apk
74.50KB
2025-11-10 07:00:37
pympress-lang-1.8.6-r0.apk
58.79KB
2025-11-10 07:00:37
pympress-pyc-1.8.6-r0.apk
181.83KB
2025-11-10 07:00:37
pyonji-0.1.0-r11.apk
2.88MB
2025-12-04 15:54:27
pypykatz-0.6.11-r1.apk
315.39KB
2025-05-29 14:57:04
pypykatz-pyc-0.6.11-r1.apk
721.13KB
2025-05-29 14:57:04
pyradio-0.9.3.11-r0.apk
870.80KB
2024-10-25 23:07:12
pyradio-doc-0.9.3.11-r0.apk
112.65KB
2024-10-25 23:07:12
pyradio-pyc-0.9.3.11-r0.apk
810.33KB
2024-10-25 23:07:12
qadwaitadecorations-0.1.7-r1.apk
44.09KB
2025-10-08 00:03:35
qbittorrent-cli-2.2.0-r5.apk
5.66MB
2025-12-04 15:54:27
qdjango-0.6.2-r1.apk
92.54KB
2024-10-25 23:07:12
qdjango-dev-0.6.2-r1.apk
14.05KB
2024-10-25 23:07:12
qflipper-1.3.3-r1.apk
442.62KB
2024-10-25 23:07:12
qflipper-gui-1.3.3-r1.apk
1.04MB
2024-10-25 23:07:12
qoi-0.0.0_git20230312-r0.apk
1.46KB
2024-10-25 23:07:12
qoi-dev-0.0.0_git20230312-r0.apk
6.84KB
2024-10-25 23:07:12
qoiconv-0.0.0_git20230312-r0.apk
28.03KB
2024-10-25 23:07:12
qpdfview-0.5-r2.apk
0.96MB
2025-01-29 21:00:27
qpdfview-doc-0.5-r2.apk
4.25KB
2025-01-29 21:00:27
qperf-0.4.11-r2.apk
32.79KB
2025-05-15 00:14:18
qperf-doc-0.4.11-r2.apk
5.57KB
2025-05-15 00:14:18
qqc2-suru-style-0.20230206-r1.apk
171.22KB
2024-10-25 23:07:12
qrcodegen-1.8.0-r0.apk
11.53KB
2025-11-29 20:56:33
qrcodegen-dev-1.8.0-r0.apk
17.24KB
2025-11-29 20:56:33
qrcodegen-doc-1.8.0-r0.apk
3.56KB
2025-11-29 20:56:33
qsstv-9.5.8-r2.apk
870.75KB
2024-10-25 23:07:12
qstardict-2.0.2-r1.apk
437.90KB
2024-11-24 05:04:20
qstardict-doc-2.0.2-r1.apk
10.64KB
2024-11-24 05:04:20
qsynth-1.0.3-r0.apk
421.84KB
2025-11-25 13:01:50
qsynth-doc-1.0.3-r0.apk
4.38KB
2025-11-25 13:01:50
qt5ct-1.9-r0.apk
216.88KB
2025-11-19 06:36:11
qt5ct-dev-1.9-r0.apk
1.54KB
2025-11-19 06:36:11
qt6ct-0.11-r2.apk
187.97KB
2025-12-04 15:54:27
qtile-0.33.0-r0.apk
468.94KB
2025-09-17 10:45:01
qtile-pyc-0.33.0-r0.apk
857.94KB
2025-09-17 10:45:01
qtpass-1.4.0-r0.apk
417.19KB
2024-10-25 23:07:12
qtpass-doc-1.4.0-r0.apk
2.12KB
2024-10-25 23:07:12
quakespasm-0.96.3-r0.apk
465.55KB
2024-10-25 23:07:12
queercat-1.0.0-r0.apk
8.01KB
2024-10-25 23:07:12
quodlibet-4.7.1-r0.apk
1.04MB
2025-09-16 21:53:03
quodlibet-bash-completion-4.7.1-r0.apk
4.50KB
2025-09-16 21:53:03
quodlibet-doc-4.7.1-r0.apk
8.66KB
2025-09-16 21:53:03
quodlibet-lang-4.7.1-r0.apk
1.42MB
2025-09-16 21:53:03
quodlibet-pyc-4.7.1-r0.apk
1.81MB
2025-09-16 21:53:03
quodlibet-zsh-completion-4.7.1-r0.apk
2.71KB
2025-09-16 21:53:03
raku-distribution-builder-makefromjson-0.6-r1.apk
41.36KB
2025-08-29 10:35:02
raku-distribution-builder-makefromjson-doc-0.6-r1.apk
2.35KB
2025-08-29 10:35:02
raku-inline-perl5-0.60_git20250327-r1.apk
2.09MB
2025-08-29 10:35:02
raku-inline-perl5-doc-0.60_git20250327-r1.apk
6.29KB
2025-08-29 10:35:02
raku-system-query-0.1.6-r1.apk
16.72KB
2025-08-29 10:35:02
raku-system-query-doc-0.1.6-r1.apk
2.51KB
2025-08-29 10:35:02
randrctl-1.10.0-r0.apk
27.93KB
2024-11-20 00:34:17
randrctl-pyc-1.10.0-r0.apk
31.19KB
2024-11-20 00:34:17
rankwidth-0.9-r4.apk
5.15KB
2025-10-28 00:18:34
rankwidth-dev-0.9-r4.apk
2.92KB
2025-10-28 00:18:34
rankwidth-doc-0.9-r4.apk
2.91KB
2025-10-28 00:18:34
rankwidth-libs-0.9-r4.apk
4.83KB
2025-10-28 00:18:34
rankwidth-static-0.9-r4.apk
4.02KB
2025-10-28 00:18:34
raspberrypi-usbboot-20250227-r0.apk
886.54KB
2025-06-09 11:39:48
raspi2png-0.0.20190727-r0.apk
6.17KB
2024-10-25 23:07:13
rathole-0.5.0-r0.apk
1.44MB
2024-10-25 23:07:13
rattler-build-0.18.0-r0.apk
5.99MB
2024-10-25 23:07:13
rattler-build-bash-completion-0.18.0-r0.apk
3.62KB
2024-10-25 23:07:13
rattler-build-doc-0.18.0-r0.apk
6.72KB
2024-10-25 23:07:13
rattler-build-fish-completion-0.18.0-r0.apk
4.72KB
2024-10-25 23:07:13
rattler-build-zsh-completion-0.18.0-r0.apk
5.44KB
2024-10-25 23:07:13
rauc-1.10.1-r0.apk
151.62KB
2024-10-25 23:07:13
rauc-doc-1.10.1-r0.apk
4.14KB
2024-10-25 23:07:13
rauc-service-1.10.1-r0.apk
3.72KB
2024-10-25 23:07:13
razercfg-0.42-r7.apk
71.75KB
2024-10-25 23:07:13
razercfg-gui-0.42-r7.apk
18.97KB
2024-10-25 23:07:13
razercfg-openrc-0.42-r7.apk
1.72KB
2024-10-25 23:07:13
razercfg-pyc-0.42-r7.apk
35.62KB
2024-10-25 23:07:13
rclone-browser-1.8.0-r1.apk
318.57KB
2024-10-25 23:07:13
rdedup-3.2.1-r5.apk
831.04KB
2024-10-25 23:07:13
rdrview-0.1.3-r0.apk
25.91KB
2025-02-22 21:23:12
rdrview-doc-0.1.3-r0.apk
3.69KB
2025-02-22 21:23:12
reaction-2.2.1-r0.apk
1.46MB
2025-09-23 22:46:47
reaction-openrc-2.2.1-r0.apk
1.83KB
2025-09-23 22:46:47
reaction-tools-2.2.1-r0.apk
4.55KB
2025-09-23 22:46:47
readosm-1.1.0-r3.apk
14.84KB
2025-05-15 00:14:18
readosm-dev-1.1.0-r3.apk
19.30KB
2025-05-15 00:14:18
reap-0.2-r0.apk
4.84KB
2025-09-13 16:07:25
reap-doc-0.2-r0.apk
2.67KB
2025-09-13 16:07:25
reason-3.8.2-r2.apk
18.35MB
2025-10-25 07:57:51
reason-rtop-3.8.2-r2.apk
24.27MB
2025-10-25 07:57:51
reaver-wps-fork-t6x-1.6.6-r1.apk
371.33KB
2024-10-25 23:07:13
recoll-1.37.5-r1.apk
2.70MB
2024-10-25 23:07:14
recoll-dev-1.37.5-r1.apk
53.05KB
2024-10-25 23:07:14
recoll-doc-1.37.5-r1.apk
21.14KB
2024-10-25 23:07:14
redhat-fonts-4.1.0-r1.apk
808.99KB
2025-08-09 02:40:01
redlib-0.36.0-r0.apk
2.83MB
2025-10-26 16:33:42
refine-0.6.3-r0.apk
34.21KB
2025-11-21 01:49:11
refine-lang-0.6.3-r0.apk
31.88KB
2025-11-21 01:49:11
reg-0.16.1-r33.apk
4.59MB
2025-12-04 15:54:27
regal-0.36.1-r1.apk
11.59MB
2025-12-04 15:54:27
regal-bash-completion-0.36.1-r1.apk
6.10KB
2025-12-04 15:54:27
regal-fish-completion-0.36.1-r1.apk
4.33KB
2025-12-04 15:54:27
regal-zsh-completion-0.36.1-r1.apk
4.04KB
2025-12-04 15:54:27
regclient-0.11.1-r0.apk
13.70MB
2025-12-08 12:41:53
remake-1.5-r1.apk
137.25KB
2024-10-25 23:07:14
remake-dev-1.5-r1.apk
2.93KB
2024-10-25 23:07:14
remake-doc-1.5-r1.apk
201.65KB
2024-10-25 23:07:14
remake-make-1.5-r1.apk
1.53KB
2024-10-25 23:07:14
remco-0.12.5-r5.apk
9.48MB
2025-12-04 15:54:28
remco-doc-0.12.5-r5.apk
2.26KB
2025-12-04 15:54:28
remco-openrc-0.12.5-r5.apk
1.70KB
2025-12-04 15:54:28
remind-caldav-0.8.0-r4.apk
17.68KB
2024-10-25 23:07:14
remind-caldav-pyc-0.8.0-r4.apk
6.14KB
2024-10-25 23:07:14
repgrep-0.15.0-r0.apk
1.20MB
2024-10-25 23:07:14
repgrep-bash-completion-0.15.0-r0.apk
1.61KB
2024-10-25 23:07:14
repgrep-doc-0.15.0-r0.apk
6.47KB
2024-10-25 23:07:14
repgrep-fish-completion-0.15.0-r0.apk
4.12KB
2024-10-25 23:07:14
repgrep-zsh-completion-0.15.0-r0.apk
1.62KB
2024-10-25 23:07:14
repo-2.60-r0.apk
16.83KB
2025-12-12 21:49:30
repo-doc-2.60-r0.apk
39.59KB
2025-12-12 21:49:30
repowerd-2023.07-r3.apk
838.22KB
2025-02-17 14:07:18
repowerd-openrc-2023.07-r3.apk
1.67KB
2025-02-17 14:07:18
reprotest-0.7.32-r0.apk
80.29KB
2025-11-02 16:18:48
reprotest-pyc-0.7.32-r0.apk
102.92KB
2025-11-02 16:18:48
reredirect-0.3-r0.apk
7.69KB
2024-10-25 23:07:14
reredirect-doc-0.3-r0.apk
2.84KB
2024-10-25 23:07:14
resources-1.9.1-r0.apk
2.45MB
2025-11-21 11:55:24
resources-lang-1.9.1-r0.apk
210.73KB
2025-11-21 11:55:24
responder-3.1.7.0-r0.apk
747.30KB
2025-10-14 17:54:50
restart-services-0.17.0-r0.apk
11.51KB
2024-10-25 23:07:14
restart-services-doc-0.17.0-r0.apk
5.88KB
2024-10-25 23:07:14
restic.mk-0.4.0-r0.apk
2.84KB
2024-11-21 05:03:49
restinio-0.6.19-r1.apk
1.23KB
2024-12-14 20:56:25
restinio-dev-0.6.19-r1.apk
267.85KB
2024-12-14 20:56:25
rezolus-2.11.1-r3.apk
887.77KB
2024-10-25 23:07:14
rezolus-doc-2.11.1-r3.apk
3.36KB
2024-10-25 23:07:14
rezolus-openrc-2.11.1-r3.apk
2.07KB
2024-10-25 23:07:14
rgxg-0.1.2-r2.apk
14.52KB
2024-10-25 23:07:14
rgxg-dev-0.1.2-r2.apk
3.52KB
2024-10-25 23:07:14
rgxg-doc-0.1.2-r2.apk
12.32KB
2024-10-25 23:07:14
rhasspy-nlu-0.4.0-r3.apk
43.59KB
2024-10-25 23:07:14
rhasspy-nlu-pyc-0.4.0-r3.apk
72.86KB
2024-10-25 23:07:14
riemann-cli-0.8.0-r2.apk
530.46KB
2024-10-25 23:07:14
rinetd-0.73-r0.apk
13.62KB
2024-10-25 23:07:14
rinetd-doc-0.73-r0.apk
16.42KB
2024-10-25 23:07:14
rinetd-openrc-0.73-r0.apk
1.69KB
2024-10-25 23:07:14
river-luatile-0.1.4-r0.apk
260.81KB
2025-05-19 15:03:18
river-shifttags-0.2.1-r1.apk
6.14KB
2025-05-15 00:14:19
river-shifttags-doc-0.2.1-r1.apk
2.37KB
2025-05-15 00:14:19
rizin-0.8.1-r0.apk
2.66MB
2025-06-30 19:48:56
rizin-dev-0.8.1-r0.apk
322.57KB
2025-06-30 19:48:56
rizin-doc-0.8.1-r0.apk
19.26KB
2025-06-30 19:48:56
rizin-libs-0.8.1-r0.apk
5.14MB
2025-06-30 19:48:56
rkdeveloptool-1.1.0-r2.apk
56.12KB
2025-11-26 22:47:37
rkdeveloptool-doc-1.1.0-r2.apk
2.99KB
2025-11-26 22:47:37
rkdeveloptool-udev-1.1.0-r2.apk
1.78KB
2025-11-26 22:47:37
rke-1.4.3-r20.apk
19.73MB
2025-12-04 15:54:28
rke-doc-1.4.3-r20.apk
2.96KB
2025-12-04 15:54:28
rmlint-2.10.2-r2.apk
140.94KB
2024-10-25 23:07:15
rmlint-doc-2.10.2-r2.apk
17.81KB
2024-10-25 23:07:15
rmlint-lang-2.10.2-r2.apk
18.90KB
2024-10-25 23:07:15
rmlint-shredder-2.10.2-r2.apk
95.96KB
2024-10-25 23:07:15
rmlint-shredder-pyc-2.10.2-r2.apk
124.50KB
2024-10-25 23:07:15
rocm-cmake-6.4.3-r0.apk
28.44KB
2025-08-18 14:32:15
rocm-cmake-doc-6.4.3-r0.apk
2.42KB
2025-08-18 14:32:15
rocm-core-6.4.3-r0.apk
7.67KB
2025-08-18 14:32:15
rocm-core-dev-6.4.3-r0.apk
6.59KB
2025-08-18 14:32:15
rocm-core-doc-6.4.3-r0.apk
2.43KB
2025-08-18 14:32:15
rofi-json-menu-0.2.0-r1.apk
4.88KB
2024-10-25 23:07:15
rofi-pass-2.0.2-r2.apk
8.84KB
2024-10-25 23:07:15
rofi-pass-doc-2.0.2-r2.apk
4.95KB
2024-10-25 23:07:15
roll-2.6.1-r1.apk
12.27KB
2025-10-13 18:43:00
roll-bash-completion-2.6.1-r1.apk
1.84KB
2025-10-13 18:43:00
roll-doc-2.6.1-r1.apk
11.28KB
2025-10-13 18:43:00
rosdep-0.19.0-r6.apk
66.13KB
2024-10-25 23:07:15
rosdep-pyc-0.19.0-r6.apk
119.00KB
2024-10-25 23:07:15
rot8-1.0.0-r0.apk
795.95KB
2025-07-29 00:43:35
rot8-doc-1.0.0-r0.apk
2.22KB
2025-07-29 00:43:35
rpg-cli-1.2.0-r0.apk
604.67KB
2024-10-25 23:07:15
rpicam-apps-1.10.1-r0.apk
429.98KB
2025-12-02 15:00:47
rpicam-apps-doc-1.10.1-r0.apk
2.37KB
2025-12-02 15:00:47
rss-email-0.5.1-r0.apk
2.18MB
2025-08-10 14:21:20
rss-email-doc-0.5.1-r0.apk
6.39KB
2025-08-10 14:21:20
rsstail-2.2-r0.apk
8.41KB
2025-02-13 09:17:33
rsstail-doc-2.2-r0.apk
2.75KB
2025-02-13 09:17:33
rt5-5.0.8-r0.apk
16.92MB
2025-06-15 07:44:16
rt6-6.0.1-r0.apk
12.26MB
2025-08-12 10:10:28
rtl-power-fftw-20200601-r4.apk
59.71KB
2024-10-25 23:07:15
rtl-power-fftw-doc-20200601-r4.apk
8.16KB
2024-10-25 23:07:15
rtl8192eu-src-5.11.2.3_git20251017-r0.apk
2.59MB
2025-12-04 15:54:28
rtl88x2bu-src-5.13.1_git20230711-r0.apk
4.00MB
2024-10-25 23:07:15
rtmidi-6.0.0-r0.apk
28.74KB
2024-10-25 23:07:15
rtmidi-dev-6.0.0-r0.apk
14.09KB
2024-10-25 23:07:15
rtptools-1.22-r2.apk
39.93KB
2024-10-25 23:07:15
rtptools-doc-1.22-r2.apk
12.61KB
2024-10-25 23:07:15
rtw89-src-7_p20230725-r0.apk
758.74KB
2024-10-25 23:07:15
ruby-ansi-1.5.0-r0.apk
25.88KB
2025-07-06 21:24:26
ruby-appraisal-2.5.0-r0.apk
10.87KB
2025-05-26 02:52:09
ruby-appraisal-doc-2.5.0-r0.apk
2.31KB
2025-05-26 02:52:09
ruby-benchmark-ips-2.14.0-r0.apk
14.34KB
2025-05-26 02:54:30
ruby-benchmark-ips-doc-2.14.0-r0.apk
2.27KB
2025-05-26 02:54:30
ruby-build-20250925-r0.apk
93.04KB
2025-09-28 18:45:38
ruby-build-doc-20250925-r0.apk
4.79KB
2025-09-28 18:45:38
ruby-build-runtime-20250925-r0.apk
1.31KB
2025-09-28 18:45:38
ruby-byebug-12.0.0-r0.apk
122.78KB
2025-10-21 07:12:51
ruby-coderay-1.1.3-r0.apk
89.07KB
2025-05-26 02:56:42
ruby-coderay-doc-1.1.3-r0.apk
2.29KB
2025-05-26 02:56:42
ruby-crack-1.0.1-r0.apk
2.33KB
2025-10-21 07:14:43
ruby-crack-doc-1.0.1-r0.apk
2.25KB
2025-10-21 07:14:43
ruby-dry-inflector-1.2.0-r0.apk
8.17KB
2025-10-21 07:16:17
ruby-dry-inflector-doc-1.2.0-r0.apk
2.26KB
2025-10-21 07:16:17
ruby-event_emitter-0.2.6-r0.apk
3.08KB
2025-05-26 03:00:04
ruby-event_emitter-doc-0.2.6-r0.apk
2.27KB
2025-05-26 03:00:04
ruby-facter-4.10.0-r0.apk
218.09KB
2025-07-28 05:04:36
ruby-fast_gettext-3.1.0-r0.apk
22.14KB
2025-05-26 02:58:20
ruby-hashdiff-1.2.1-r0.apk
8.95KB
2025-10-21 07:18:01
ruby-hashdiff-doc-1.2.1-r0.apk
2.25KB
2025-10-21 07:18:01
ruby-hoe-4.3.0-r0.apk
27.36KB
2025-10-21 07:19:30
ruby-libguestfs-1.56.1-r0.apk
106.67KB
2025-07-24 04:27:28
ruby-mail-2.9.0-r0.apk
394.40KB
2025-10-24 15:36:10
ruby-minitest-autotest-1.2.0-r0.apk
14.27KB
2025-12-15 20:35:50
ruby-minitest-focus-1.3.1-r0.apk
5.16KB
2025-07-06 21:24:26
ruby-minitest-power_assert-0.3.1-r0.apk
2.23KB
2025-07-06 21:24:26
ruby-minitest-proveit-1.0.0-r0.apk
4.77KB
2025-07-06 21:24:26
ruby-minitest-reporters-1.7.1-r0.apk
20.09KB
2025-07-06 21:24:26
ruby-minitest-server-1.0.9-r0.apk
5.39KB
2025-11-21 19:53:32
ruby-path_expander-2.0.0-r0.apk
6.63KB
2025-12-15 20:35:18
ruby-ruby-progressbar-1.13.0-r0.apk
24.92KB
2025-07-06 21:24:26
ruff-lsp-0.0.62-r0.apk
21.27KB
2025-03-07 00:31:47
ruff-lsp-pyc-0.0.62-r0.apk
34.98KB
2025-03-07 00:31:47
runst-0.2.0-r0.apk
1.97MB
2025-11-14 23:13:18
runst-doc-0.2.0-r0.apk
7.82KB
2025-11-14 23:13:18
runu-0.12.3-r1.apk
14.15MB
2025-12-04 15:54:28
ruri-3.9.3-r0.apk
136.08KB
2025-10-27 05:05:58
ruri-doc-3.9.3-r0.apk
2.24KB
2025-10-27 05:05:58
rustdesk-server-1.1.10.3-r0.apk
2.41MB
2024-10-25 23:07:15
rustdesk-server-openrc-1.1.10.3-r0.apk
2.22KB
2024-10-25 23:07:15
rustic-0.9.3-r0.apk
6.21MB
2024-10-25 23:07:15
rustic-bash-completion-0.9.3-r0.apk
8.61KB
2024-10-25 23:07:15
rustic-fish-completion-0.9.3-r0.apk
16.67KB
2024-10-25 23:07:15
rustic-zsh-completion-0.9.3-r0.apk
13.03KB
2024-10-25 23:07:15
rustical-0.9.14-r0.apk
4.46MB
2025-11-02 09:39:33
ruuvi-prometheus-0.1.9-r7.apk
3.35MB
2025-12-04 15:54:28
ruuvi-prometheus-openrc-0.1.9-r7.apk
1.68KB
2025-12-04 15:54:28
rvlprog-0.91-r2.apk
24.88KB
2024-10-25 23:07:15
ry-0.5.2-r1.apk
4.62KB
2024-10-25 23:07:15
ry-bash-completion-0.5.2-r1.apk
1.95KB
2024-10-25 23:07:15
ry-zsh-completion-0.5.2-r1.apk
2.26KB
2024-10-25 23:07:15
rygel-45.0-r1.apk
740.34KB
2025-11-18 20:30:37
rygel-dev-45.0-r1.apk
42.67KB
2025-11-18 20:30:37
rygel-doc-45.0-r1.apk
9.67KB
2025-11-18 20:30:37
rygel-lang-45.0-r1.apk
583.64KB
2025-11-18 20:30:37
rygel-systemd-45.0-r1.apk
1.74KB
2025-11-18 20:30:37
s-dkim-sign-0.6.2-r0.apk
52.32KB
2024-10-25 23:07:15
s-dkim-sign-doc-0.6.2-r0.apk
8.52KB
2024-10-25 23:07:15
s-postgray-0.8.3-r0.apk
42.70KB
2024-10-25 23:07:15
s-postgray-doc-0.8.3-r0.apk
9.58KB
2024-10-25 23:07:15
s5cmd-2.3.0-r8.apk
5.16MB
2025-12-04 15:54:28
saait-0.8-r0.apk
7.11KB
2024-10-25 23:07:15
saait-doc-0.8-r0.apk
12.70KB
2024-10-25 23:07:15
sacc-1.07-r1.apk
14.68KB
2025-09-13 04:00:11
sacc-doc-1.07-r1.apk
2.88KB
2025-09-13 04:00:11
sandbar-0.1-r1.apk
14.51KB
2025-05-15 00:14:19
satellite-1.0.0-r33.apk
2.27MB
2025-12-04 15:54:28
satellite-doc-1.0.0-r33.apk
3.02KB
2025-12-04 15:54:28
satellite-openrc-1.0.0-r33.apk
1.90KB
2025-12-04 15:54:28
sauerbraten-2020.12.29-r4.apk
933.72MB
2025-02-20 20:49:23
sbase-0_git20210730-r3.apk
120.09KB
2024-10-25 23:07:30
sbase-doc-0_git20210730-r3.apk
58.24KB
2024-10-25 23:07:30
sblg-0.5.11-r0.apk
36.52KB
2024-10-25 23:07:30
sblg-doc-0.5.11-r0.apk
1.35MB
2024-10-25 23:07:30
sblim-sfcc-2.2.8-r3.apk
51.17KB
2024-10-25 23:07:30
sblim-sfcc-dev-2.2.8-r3.apk
22.26KB
2024-10-25 23:07:30
sblim-sfcc-doc-2.2.8-r3.apk
34.58KB
2024-10-25 23:07:30
sblim-wbemcli-1.6.3-r1.apk
94.95KB
2024-10-25 23:07:30
sblim-wbemcli-doc-1.6.3-r1.apk
4.53KB
2024-10-25 23:07:30
sc-controller-0.5.5-r0.apk
1.27MB
2025-12-14 10:56:07
sc-controller-pyc-0.5.5-r0.apk
814.27KB
2025-12-14 10:56:07
sc-controller-udev-0.5.5-r0.apk
2.06KB
2025-12-14 10:56:07
sc3-plugins-3.13.0-r2.apk
9.44MB
2025-02-09 01:43:59
scalingo-1.30.0-r15.apk
5.30MB
2025-12-04 15:54:28
schismtracker-20251014-r0.apk
446.79KB
2025-10-25 02:50:54
schismtracker-doc-20251014-r0.apk
6.34KB
2025-10-25 02:50:54
scooper-1.3-r1.apk
474.35KB
2024-10-25 23:07:31
scooper-doc-1.3-r1.apk
2.59KB
2024-10-25 23:07:31
screenkey-1.5-r7.apk
76.36KB
2025-07-24 04:27:28
screenkey-doc-1.5-r7.apk
10.97KB
2025-07-24 04:27:28
screenkey-pyc-1.5-r7.apk
73.14KB
2025-07-24 04:27:28
sct-2018.12.18-r1.apk
3.50KB
2024-10-25 23:07:31
sdl3_image-3.2.4-r0.apk
74.42KB
2025-03-24 22:22:29
sdl3_image-dev-3.2.4-r0.apk
12.05KB
2025-03-24 22:22:29
sdl3_image-doc-3.2.4-r0.apk
2.06KB
2025-03-24 22:22:29
sdparm-1.12-r1.apk
142.82KB
2024-10-25 23:07:33
sdparm-doc-1.12-r1.apk
19.33KB
2024-10-25 23:07:33
seaweedfs-3.93-r4.apk
25.64MB
2025-12-04 15:54:29
seaweedfs-doc-3.93-r4.apk
13.76KB
2025-12-04 15:54:29
seaweedfs-openrc-3.93-r4.apk
1.91KB
2025-12-04 15:54:29
secsipidx-1.3.2-r17.apk
2.77MB
2025-12-04 15:54:29
secsipidx-dev-1.3.2-r17.apk
4.92MB
2025-12-04 15:54:29
secsipidx-libs-1.3.2-r17.apk
2.48MB
2025-12-04 15:54:29
sedutil-1.15.1-r1.apk
185.80KB
2024-10-25 23:07:33
sedutil-doc-1.15.1-r1.apk
3.07KB
2024-10-25 23:07:33
semaphoreui-2.16.37-r1.apk
15.85MB
2025-12-04 15:54:29
semaphoreui-bash-completion-2.16.37-r1.apk
6.10KB
2025-12-04 15:54:29
semaphoreui-doc-2.16.37-r1.apk
2.32KB
2025-12-04 15:54:29
semaphoreui-fish-completion-2.16.37-r1.apk
4.32KB
2025-12-04 15:54:29
semaphoreui-openrc-2.16.37-r1.apk
2.09KB
2025-12-04 15:54:29
semaphoreui-zsh-completion-2.16.37-r1.apk
4.04KB
2025-12-04 15:54:29
sentinel-minipot-2.3.0-r1.apk
43.48KB
2024-10-25 23:07:33
sentinel-minipot-openrc-2.3.0-r1.apk
2.58KB
2024-10-25 23:07:33
sentinel-proxy-2.1.0-r1.apk
39.27KB
2025-06-13 17:20:19
sentinel-proxy-dev-2.1.0-r1.apk
4.35KB
2025-06-13 17:20:19
sentinel-proxy-openrc-2.1.0-r1.apk
2.25KB
2025-06-13 17:20:19
serialdv-1.1.5-r0.apk
6.43KB
2025-10-24 23:26:29
serialdv-dev-1.1.5-r0.apk
5.35KB
2025-10-24 23:26:29
serialdv-libs-1.1.5-r0.apk
60.06KB
2025-10-24 23:26:29
serie-0.5.5-r0.apk
876.06KB
2025-12-10 12:25:09
serie-doc-0.5.5-r0.apk
8.20KB
2025-12-10 12:25:09
setroot-2.0.2-r1.apk
11.24KB
2024-10-25 23:07:33
setroot-doc-2.0.2-r1.apk
4.45KB
2024-10-25 23:07:33
sfizz-1.2.3-r1.apk
1.63MB
2025-10-02 16:20:13
sfizz-dev-1.2.3-r1.apk
14.74KB
2025-10-02 16:20:13
sfizz-doc-1.2.3-r1.apk
3.02KB
2025-10-02 16:20:13
sflowtool-6.02-r0.apk
44.05KB
2024-10-25 23:07:33
sflowtool-doc-6.02-r0.apk
9.33KB
2024-10-25 23:07:33
sfwbar-1.0_beta161-r0.apk
265.27KB
2025-07-31 16:29:01
sfwbar-doc-1.0_beta161-r0.apk
26.50KB
2025-07-31 16:29:01
sgt-puzzles-0_git20230310-r2.apk
2.67MB
2024-10-25 23:07:33
shc-4.0.3-r2.apk
18.57KB
2024-10-25 23:07:33
shellinabox-2.21-r3.apk
120.88KB
2024-10-25 23:07:33
shellinabox-doc-2.21-r3.apk
19.36KB
2024-10-25 23:07:33
shellinabox-openrc-2.21-r3.apk
3.52KB
2024-10-25 23:07:33
shine-3.1.1-r0.apk
54.69KB
2024-10-25 23:07:33
shipments-0.3.0-r0.apk
23.47KB
2024-10-25 23:07:33
shntool-3.0.10-r5.apk
58.49KB
2025-02-25 12:17:09
shntool-doc-3.0.10-r5.apk
10.27KB
2025-02-25 12:17:09
shutdown-clear-machine-id-1.0.0-r0.apk
1.79KB
2024-10-25 23:07:33
sigma-0.23.1-r1.apk
236.78KB
2024-10-25 23:07:33
sigma-pyc-0.23.1-r1.apk
340.02KB
2024-10-25 23:07:33
sigrok-cli-0.7.2-r0.apk
38.15KB
2024-10-25 23:07:33
sigrok-cli-doc-0.7.2-r0.apk
7.99KB
2024-10-25 23:07:33
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
13.07KB
2024-10-25 23:07:33
silc-client-1.1.11-r18.apk
797.67KB
2025-06-30 10:14:28
silc-client-doc-1.1.11-r18.apk
82.12KB
2025-06-30 10:14:28
simgear-2024.1.1-r0.apk
2.08MB
2025-03-05 02:14:55
simgear-dev-2024.1.1-r0.apk
404.08KB
2025-03-05 02:14:55
simh-3.11.1-r1.apk
2.79MB
2024-10-25 23:07:33
simp1e-cursors-0_git20250312-r0.apk
446.67KB
2025-03-13 14:27:38
simp1e-cursors-adw-0_git20250312-r0.apk
477.80KB
2025-03-13 14:27:39
simp1e-cursors-adw-dark-0_git20250312-r0.apk
481.99KB
2025-03-13 14:27:39
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
484.97KB
2025-03-13 14:27:39
simp1e-cursors-adw-left-0_git20250312-r0.apk
483.01KB
2025-03-13 14:27:39
simp1e-cursors-breeze-0_git20250312-r0.apk
504.24KB
2025-03-13 14:27:39
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
519.96KB
2025-03-13 14:27:39
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
526.31KB
2025-03-13 14:27:39
simp1e-cursors-breeze-left-0_git20250312-r0.apk
509.31KB
2025-03-13 14:27:39
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
535.02KB
2025-03-13 14:27:40
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
547.45KB
2025-03-13 14:27:40
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
527.56KB
2025-03-13 14:27:40
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
537.34KB
2025-03-13 14:27:40
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
532.65KB
2025-03-13 14:27:40
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
544.43KB
2025-03-13 14:27:40
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
529.89KB
2025-03-13 14:27:40
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
539.93KB
2025-03-13 14:27:40
simp1e-cursors-dark-0_git20250312-r0.apk
483.91KB
2025-03-13 14:27:40
simp1e-cursors-dark-left-0_git20250312-r0.apk
489.56KB
2025-03-13 14:27:40
simp1e-cursors-doc-0_git20250312-r0.apk
13.83KB
2025-03-13 14:27:40
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
524.98KB
2025-03-13 14:27:40
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
536.95KB
2025-03-13 14:27:40
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
517.11KB
2025-03-13 14:27:40
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
526.60KB
2025-03-13 14:27:40
simp1e-cursors-left-0_git20250312-r0.apk
448.50KB
2025-03-13 14:27:40
simp1e-cursors-mix-dark-0_git20250312-r0.apk
486.73KB
2025-03-13 14:27:41
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
492.18KB
2025-03-13 14:27:41
simp1e-cursors-mix-light-0_git20250312-r0.apk
449.54KB
2025-03-13 14:27:41
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
451.43KB
2025-03-13 14:27:41
simp1e-cursors-nord-dark-0_git20250312-r0.apk
535.63KB
2025-03-13 14:27:41
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
548.56KB
2025-03-13 14:27:41
simp1e-cursors-nord-light-0_git20250312-r0.apk
517.88KB
2025-03-13 14:27:41
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
524.68KB
2025-03-13 14:27:41
simp1e-cursors-rose-pine-0_git20250312-r0.apk
533.48KB
2025-03-13 14:27:41
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
538.40KB
2025-03-13 14:27:41
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
550.59KB
2025-03-13 14:27:41
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
545.13KB
2025-03-13 14:27:41
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
537.89KB
2025-03-13 14:27:41
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
550.18KB
2025-03-13 14:27:41
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
523.70KB
2025-03-13 14:27:41
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
535.01KB
2025-03-13 14:27:41
simp1e-cursors-solarized-light-0_git20250312-r0.apk
531.07KB
2025-03-13 14:27:41
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
542.04KB
2025-03-13 14:27:41
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
529.91KB
2025-03-13 14:27:42
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
540.95KB
2025-03-13 14:27:42
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
519.84KB
2025-03-13 14:27:42
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
526.90KB
2025-03-13 14:27:42
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
533.93KB
2025-03-13 14:27:42
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
545.64KB
2025-03-13 14:27:42
simp1e-cursors-zenburn-0_git20250312-r0.apk
529.25KB
2025-03-13 14:27:42
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
540.15KB
2025-03-13 14:27:42
simpleble-0.10.3-r0.apk
1.23KB
2025-07-15 11:22:16
simpleble-dev-0.10.3-r0.apk
27.93KB
2025-07-15 11:22:16
singular-4.4.1_p2-r1.apk
10.05MB
2025-11-26 10:23:47
singular-dev-4.4.1_p2-r1.apk
359.17KB
2025-11-26 10:23:47
singular-doc-4.4.1_p2-r1.apk
1.35MB
2025-11-26 10:23:47
singular-emacs-4.4.1_p2-r1.apk
101.58KB
2025-11-26 10:23:47
singular-static-4.4.1_p2-r1.apk
5.34MB
2025-11-26 10:23:47
sipexer-1.2.0-r8.apk
2.84MB
2025-12-04 15:54:29
sipgrep-2.2.0-r1.apk
26.02KB
2024-10-25 23:07:34
siril-1.2.6-r5.apk
2.69MB
2025-09-28 00:09:22
siril-doc-1.2.6-r5.apk
17.77KB
2025-09-28 00:09:22
siril-lang-1.2.6-r5.apk
1.55MB
2025-09-28 00:09:22
sish-2.16.1-r10.apk
7.93MB
2025-12-04 15:54:29
sish-openrc-2.16.1-r10.apk
1.91KB
2025-12-04 15:54:29
sloccount-2.26-r3.apk
58.67KB
2024-10-25 23:07:34
sloccount-doc-2.26-r3.apk
59.37KB
2024-10-25 23:07:34
slurm-0.4.4-r0.apk
14.51KB
2024-10-25 23:07:34
slurm-doc-0.4.4-r0.apk
2.26KB
2024-10-25 23:07:34
smassh-3.1.6-r0.apk
72.40KB
2024-11-21 04:56:53
smassh-pyc-3.1.6-r0.apk
70.23KB
2024-11-21 04:56:53
smile-2.10.2-r0.apk
863.30KB
2025-09-10 00:19:50
smile-lang-2.10.2-r0.apk
28.23KB
2025-09-10 00:19:50
smplxmpp-0.9.3-r5.apk
140.68KB
2025-10-12 14:34:01
smplxmpp-doc-0.9.3-r5.apk
24.83KB
2025-10-12 14:34:01
snapper-0.13.0-r0.apk
926.11KB
2025-09-16 21:45:19
snapper-bash-completion-0.13.0-r0.apk
3.37KB
2025-09-16 21:45:19
snapper-dev-0.13.0-r0.apk
10.73KB
2025-09-16 21:45:19
snapper-doc-0.13.0-r0.apk
25.26KB
2025-09-16 21:45:19
snapper-lang-0.13.0-r0.apk
218.95KB
2025-09-16 21:45:19
snapper-zsh-completion-0.13.0-r0.apk
3.57KB
2025-09-16 21:45:19
snapraid-13.0-r0.apk
287.40KB
2025-10-31 23:40:46
snapraid-doc-13.0-r0.apk
18.98KB
2025-10-31 23:40:46
snippets-ls-0.0.4_git20240617-r10.apk
1.31MB
2025-12-04 15:54:30
snore-0.3.1-r0.apk
4.89KB
2024-10-25 23:07:34
snore-doc-0.3.1-r0.apk
3.05KB
2024-10-25 23:07:34
so-0.4.10-r0.apk
2.07MB
2024-10-25 23:07:34
soapy-bladerf-0.4.2-r0.apk
48.51KB
2024-12-31 03:11:49
solanum-6.0.0-r0.apk
262.85KB
2025-04-10 15:58:14
solanum-lang-6.0.0-r0.apk
47.32KB
2025-04-10 15:58:14
solarus-engine-1.7.0-r2.apk
1.90MB
2025-07-24 04:27:28
solarus-engine-doc-1.7.0-r2.apk
3.35KB
2025-07-24 04:27:28
somebar-1.0.3-r0.apk
33.95KB
2024-10-25 23:07:34
somebar-doc-1.0.3-r0.apk
2.42KB
2024-10-25 23:07:34
sonicradio-0.8.4-r2.apk
3.22MB
2025-12-04 15:54:30
sopwith-2.5.0-r0.apk
48.16KB
2024-10-25 23:07:34
sopwith-doc-2.5.0-r0.apk
14.87KB
2024-10-25 23:07:34
sos-0.8-r36.apk
2.75MB
2025-12-04 15:54:30
soundconverter-4.1.1-r0.apk
164.51KB
2025-07-29 16:12:33
soundconverter-doc-4.1.1-r0.apk
4.72KB
2025-07-29 16:12:33
soundconverter-lang-4.1.1-r0.apk
106.90KB
2025-07-29 16:12:33
soundconverter-pyc-4.1.1-r0.apk
76.64KB
2025-07-29 16:12:33
spacectl-1.12.0-r6.apk
5.67MB
2025-12-04 15:54:30
spacectl-bash-completion-1.12.0-r6.apk
2.05KB
2025-12-04 15:54:30
spacectl-doc-1.12.0-r6.apk
2.29KB
2025-12-04 15:54:30
spacectl-fish-completion-1.12.0-r6.apk
7.06KB
2025-12-04 15:54:30
spacectl-zsh-completion-1.12.0-r6.apk
1.81KB
2025-12-04 15:54:30
spark-2.8.3-r1.apk
28.93MB
2024-10-25 23:07:35
speedcrunch-0.12-r3.apk
1.08MB
2024-10-25 23:07:35
speedtest-5.2.5-r1.apk
253.46KB
2024-10-25 23:07:35
speedtest-doc-5.2.5-r1.apk
18.39KB
2024-10-25 23:07:35
speedtest-examples-5.2.5-r1.apk
12.95KB
2024-10-25 23:07:35
speedtest-go-1.1.5-r20.apk
5.44MB
2025-12-04 15:54:30
speedtest-go-doc-1.1.5-r20.apk
4.51KB
2025-12-04 15:54:30
speedtest-go-openrc-1.1.5-r20.apk
1.74KB
2025-12-04 15:54:30
speedtest_exporter-0.3.2-r20.apk
3.91MB
2025-12-04 15:54:30
speedtest_exporter-openrc-0.3.2-r20.apk
1.86KB
2025-12-04 15:54:30
spice-html5-0.3.0-r1.apk
438.45KB
2024-10-25 23:07:35
spike-1.1.0-r0.apk
0.99MB
2024-10-25 23:07:35
spnavcfg-1.1-r0.apk
35.16KB
2024-10-25 23:07:35
spotify-tui-0.25.0-r2.apk
1.86MB
2024-10-25 23:07:35
spread-sheet-widget-0.10-r0.apk
42.21KB
2024-10-25 23:07:35
spread-sheet-widget-dbg-0.10-r0.apk
193.79KB
2024-10-25 23:07:35
spread-sheet-widget-dev-0.10-r0.apk
341.40KB
2024-10-25 23:07:35
spread-sheet-widget-doc-0.10-r0.apk
4.64KB
2024-10-25 23:07:35
spreadtrum_flash-1.20240815-r0.apk
33.65KB
2025-05-15 00:14:21
spvm-errno-0.093-r1.apk
15.44KB
2025-06-30 10:14:28
spvm-errno-doc-0.093-r1.apk
6.00KB
2025-06-30 10:14:28
spvm-math-1.006-r1.apk
17.83KB
2025-06-30 10:14:28
spvm-math-doc-1.006-r1.apk
6.94KB
2025-06-30 10:14:28
spvm-mime-base64-1.003-r1.apk
13.43KB
2025-06-30 10:14:28
spvm-mime-base64-doc-1.003-r1.apk
5.48KB
2025-06-30 10:14:28
spvm-thread-0.003-r1.apk
10.58KB
2025-06-30 10:14:28
spvm-thread-doc-0.003-r1.apk
5.97KB
2025-06-30 10:14:28
sqlar-0_git20180107-r1.apk
14.77KB
2024-10-25 23:07:35
sqlar-doc-0_git20180107-r1.apk
3.31KB
2024-10-25 23:07:35
sqliteodbc-0.99991-r0.apk
89.13KB
2024-10-25 23:07:35
sqlmap-1.9.12-r0.apk
6.75MB
2025-12-12 22:05:22
sqlmap-pyc-1.9.12-r0.apk
1.17MB
2025-12-12 22:05:22
sqm-scripts-1.6.0-r0.apk
20.45KB
2024-10-25 23:07:35
sqruff-0.25.26-r0.apk
2.14MB
2025-04-13 23:35:06
sqruff-doc-0.25.26-r0.apk
8.64KB
2025-04-13 23:35:06
squeak-vm-4.10.2.2614-r2.apk
535.75KB
2025-10-09 15:30:30
squeak-vm-doc-4.10.2.2614-r2.apk
12.34KB
2025-10-09 15:30:30
srain-1.8.1-r0.apk
151.81KB
2025-06-26 21:35:14
srain-lang-1.8.1-r0.apk
35.11KB
2025-06-26 21:35:14
sregex-0.0.1-r1.apk
19.14KB
2024-10-25 23:07:35
sregex-dev-0.0.1-r1.apk
23.65KB
2024-10-25 23:07:35
ssdfs-tools-4.09-r0.apk
109.43KB
2024-10-25 23:07:35
ssdfs-tools-dev-4.09-r0.apk
18.46KB
2024-10-25 23:07:35
ssh-get-id-0.2.0-r0.apk
2.37MB
2025-12-15 05:11:21
ssh-get-id-doc-0.2.0-r0.apk
2.27KB
2025-12-15 05:11:21
ssh-honeypot-0.1.1-r1.apk
8.30KB
2024-10-25 23:07:35
ssh-honeypot-openrc-0.1.1-r1.apk
2.06KB
2024-10-25 23:07:35
ssh-studio-1.3.1-r0.apk
202.38KB
2025-10-12 23:35:52
ssh-studio-lang-1.3.1-r0.apk
1.88KB
2025-10-12 23:35:52
ssh-studio-pyc-1.3.1-r0.apk
106.55KB
2025-10-12 23:35:52
ssh-tools-1.8-r0.apk
25.58KB
2024-10-25 23:07:35
sshm-1.9.0-r1.apk
3.90MB
2025-12-04 15:54:30
sshm-doc-1.9.0-r1.apk
2.25KB
2025-12-04 15:54:30
sshs-4.7.2-r0.apk
736.65KB
2025-04-24 01:22:10
sshsrv-1.0-r17.apk
0.98MB
2025-12-04 15:54:30
sshuttle-1.1.2-r0.apk
62.25KB
2024-10-25 23:07:35
sshuttle-doc-1.1.2-r0.apk
8.48KB
2024-10-25 23:07:35
sshuttle-pyc-1.1.2-r0.apk
100.70KB
2024-10-25 23:07:35
sssd-2.11.1-r2.apk
2.09MB
2025-11-21 00:15:41
sssd-dev-2.11.1-r2.apk
14.81KB
2025-11-21 00:15:41
sssd-openrc-2.11.1-r2.apk
1.68KB
2025-11-21 00:15:41
ssss-0.5.7-r0.apk
11.66KB
2024-10-25 23:07:35
ssss-doc-0.5.7-r0.apk
3.34KB
2024-10-25 23:07:35
sstp-client-1.0.20-r3.apk
37.03KB
2025-10-24 05:29:39
sstp-client-dev-1.0.20-r3.apk
5.23KB
2025-10-24 05:29:39
sstp-client-doc-1.0.20-r3.apk
4.86KB
2025-10-24 05:29:39
stalwart-cli-0.14.1-r0.apk
1.97MB
2025-11-28 22:01:38
stalwart-mail-0.14.1-r0.apk
16.03MB
2025-11-28 22:01:38
stalwart-mail-openrc-0.14.1-r0.apk
2.07KB
2025-11-28 22:01:38
stam-0.12.2-r0.apk
1.68MB
2025-09-22 15:10:29
starfighter-2.4-r0.apk
47.87MB
2024-10-25 23:07:36
starfighter-doc-2.4-r0.apk
21.58KB
2024-10-25 23:07:36
startup-2.0.3-r5.apk
519.77KB
2024-10-25 23:07:36
startup-bridge-dconf-2.0.3-r5.apk
37.75KB
2024-10-25 23:07:36
startup-bridge-udev-2.0.3-r5.apk
37.27KB
2024-10-25 23:07:36
startup-dev-2.0.3-r5.apk
5.84KB
2024-10-25 23:07:36
startup-doc-2.0.3-r5.apk
47.59KB
2024-10-25 23:07:36
startup-fish-completion-2.0.3-r5.apk
5.38KB
2024-10-25 23:07:36
startup-lang-2.0.3-r5.apk
16.55KB
2024-10-25 23:07:36
startup-tools-2.0.3-r5.apk
13.31KB
2024-10-25 23:07:36
stayrtr-0.6.3-r1.apk
10.82MB
2025-12-04 15:54:30
stayrtr-openrc-0.6.3-r1.apk
1.98KB
2025-12-04 15:54:30
steamguard-cli-0.17.1-r0.apk
3.13MB
2025-07-15 11:22:16
steamguard-cli-bash-completion-0.17.1-r0.apk
2.89KB
2025-07-15 11:22:16
steamguard-cli-zsh-completion-0.17.1-r0.apk
4.23KB
2025-07-15 11:22:16
steghide-0.5.1.1-r0.apk
135.12KB
2024-10-25 23:07:36
steghide-doc-0.5.1.1-r0.apk
13.72KB
2024-10-25 23:07:36
stern-1.33.0-r1.apk
18.32MB
2025-12-04 15:54:31
stern-bash-completion-1.33.0-r1.apk
5.84KB
2025-12-04 15:54:31
stern-fish-completion-1.33.0-r1.apk
4.32KB
2025-12-04 15:54:31
stern-zsh-completion-1.33.0-r1.apk
4.04KB
2025-12-04 15:54:31
sthttpd-2.27.1-r2.apk
62.78KB
2024-10-25 23:07:37
sthttpd-doc-2.27.1-r2.apk
18.22KB
2024-10-25 23:07:37
sthttpd-openrc-2.27.1-r2.apk
1.95KB
2024-10-25 23:07:37
stockfish-17-r0.apk
61.78MB
2025-03-04 00:42:03
stone-soup-0.32.1-r0.apk
31.27MB
2024-10-25 23:07:38
stw-0.3-r0.apk
7.34KB
2024-10-25 23:07:38
stw-doc-0.3-r0.apk
2.53KB
2024-10-25 23:07:38
sublime-music-0.12.0-r1.apk
189.51KB
2024-10-25 23:07:38
sublime-music-pyc-0.12.0-r1.apk
302.18KB
2024-10-25 23:07:38
subliminal-2.4.0-r0.apk
89.77KB
2025-11-24 01:18:00
subliminal-pyc-2.4.0-r0.apk
178.97KB
2025-11-24 01:18:00
sudo-ldap-1.9.17_p1-r0.apk
861.73KB
2025-07-01 21:57:41
supercollider-3.13.0-r6.apk
7.41MB
2025-02-09 01:43:59
supercollider-dev-3.13.0-r6.apk
39.20KB
2025-02-09 01:43:59
supermin-5.2.2-r2.apk
505.13KB
2024-10-25 23:07:38
supermin-doc-5.2.2-r2.apk
9.36KB
2024-10-25 23:07:38
surf-2.1-r3.apk
21.49KB
2024-10-25 23:07:38
surf-doc-2.1-r3.apk
4.63KB
2024-10-25 23:07:38
surfraw-2.3.0-r0.apk
78.71KB
2024-10-25 23:07:38
surfraw-doc-2.3.0-r0.apk
17.68KB
2024-10-25 23:07:38
suru-icon-theme-2025.05.0-r0.apk
2.87MB
2025-05-15 00:14:22
svgbob-0.7.6-r0.apk
472.27KB
2025-02-01 21:55:12
svls-0.2.14-r0.apk
3.54MB
2025-12-13 05:01:43
svls-doc-0.2.14-r0.apk
2.23KB
2025-12-13 05:01:43
swaks-20240103.0-r0.apk
66.08KB
2024-10-25 23:07:38
swaks-doc-20240103.0-r0.apk
49.63KB
2024-10-25 23:07:38
swappy-1.7.1-r0.apk
26.61KB
2025-08-22 02:29:33
swappy-doc-1.7.1-r0.apk
3.81KB
2025-08-22 02:29:33
swappy-lang-1.7.1-r0.apk
3.80KB
2025-08-22 02:29:33
sway-audio-idle-inhibit-0.1.2-r0.apk
9.43KB
2024-10-25 23:07:38
swayhide-0.2.1-r2.apk
259.13KB
2024-10-25 23:07:38
swhkd-1.2.1-r0.apk
1.06MB
2024-10-25 23:07:38
swhkd-doc-1.2.1-r0.apk
6.17KB
2024-10-25 23:07:38
swi-prolog-9.2.9-r0.apk
4.61MB
2024-12-21 12:08:24
swi-prolog-doc-9.2.9-r0.apk
2.06MB
2024-12-21 12:08:24
swi-prolog-pyc-9.2.9-r0.apk
22.45KB
2024-12-21 12:08:24
swi-prolog-xpce-9.2.9-r0.apk
921.84KB
2024-12-21 12:08:24
swi-prolog-xpce-doc-9.2.9-r0.apk
1.04MB
2024-12-21 12:08:24
sxcs-1.1.0-r0.apk
8.62KB
2024-10-25 23:07:38
sxcs-doc-1.1.0-r0.apk
2.64KB
2024-10-25 23:07:38
syd-tui-0.2.2-r0.apk
416.63KB
2025-12-08 00:33:38
sydbox-3.45.2-r0.apk
4.96MB
2025-12-03 04:48:42
sydbox-doc-3.45.2-r0.apk
165.29KB
2025-12-03 04:48:42
sydbox-oci-3.45.2-r0.apk
3.03MB
2025-12-03 04:48:42
sydbox-syd-3.45.2-r0.apk
2.12MB
2025-12-03 04:48:42
sydbox-test-3.45.2-r0.apk
1.92MB
2025-12-03 04:48:42
sydbox-utils-3.45.2-r0.apk
6.53MB
2025-12-03 04:48:43
sydbox-vim-3.45.2-r0.apk
7.21KB
2025-12-03 04:48:43
sylpheed-imap-notify-1.1.0-r2.apk
7.82KB
2024-10-25 23:07:38
symbiyosys-0.36-r0.apk
37.55KB
2024-10-25 23:07:38
symengine-0.12.0-r0.apk
3.50MB
2024-10-25 23:07:38
symlinks-1.4.3-r0.apk
6.03KB
2025-04-23 00:28:36
symlinks-doc-1.4.3-r0.apk
3.85KB
2025-04-23 00:28:36
sympow-2.023.7-r2.apk
1.82MB
2025-05-02 17:16:26
sympow-doc-2.023.7-r2.apk
3.11KB
2025-05-02 17:16:26
synapse-bt-1.0-r4.apk
1.11MB
2024-10-25 23:07:38
synapse-bt-cli-1.0-r4.apk
0.99MB
2024-10-25 23:07:38
synapse-bt-openrc-1.0-r4.apk
1.82KB
2024-10-25 23:07:38
syncthing-gtk-0.9.4.5-r2.apk
439.96KB
2024-10-25 23:07:38
syncthing-gtk-doc-0.9.4.5-r2.apk
2.20KB
2024-10-25 23:07:38
syncthing-gtk-pyc-0.9.4.5-r2.apk
220.60KB
2024-10-25 23:07:38
syncwhen-0.3-r0.apk
5.29KB
2025-11-01 19:26:12
syncwhen-systemd-0.3-r0.apk
1.77KB
2025-11-01 19:26:12
t2sz-1.1.2-r0.apk
8.44KB
2024-10-25 23:07:38
tachyon-0.99_beta6-r2.apk
89.20KB
2025-12-10 16:13:39
tachyon-scenes-0.99_beta6-r2.apk
1.93MB
2025-12-10 16:13:39
tailspin-5.5.0-r0.apk
1.16MB
2025-10-28 08:57:41
tailspin-bash-completion-5.5.0-r0.apk
2.25KB
2025-10-28 08:57:41
tailspin-doc-5.5.0-r0.apk
3.00KB
2025-10-28 08:57:41
tailspin-fish-completion-5.5.0-r0.apk
2.11KB
2025-10-28 08:57:41
tailspin-zsh-completion-5.5.0-r0.apk
2.46KB
2025-10-28 08:57:41
tang-15-r0.apk
15.10KB
2025-01-25 09:04:15
tang-dbg-15-r0.apk
31.92KB
2025-01-25 09:04:15
tang-doc-15-r0.apk
20.57KB
2025-01-25 09:04:15
tang-openrc-15-r0.apk
1.89KB
2025-01-25 09:04:15
tangctl-0_git20241007-r9.apk
2.64MB
2025-12-04 15:54:31
tanidvr-1.4.1-r2.apk
21.92KB
2025-03-21 13:52:28
tanidvr-dhav2mkv-1.4.1-r2.apk
12.15KB
2025-03-21 13:52:28
tartube-2.5.0-r2.apk
2.73MB
2025-12-10 12:25:09
tartube-pyc-2.5.0-r2.apk
1.07MB
2025-12-10 12:25:09
taskcafe-0.3.6-r18.apk
13.57MB
2025-12-04 15:54:31
taskcafe-openrc-0.3.6-r18.apk
1.82KB
2025-12-04 15:54:31
taskwarrior-tui-0.26.3-r0.apk
1.20MB
2025-03-26 12:25:13
taskwarrior-tui-bash-completion-0.26.3-r0.apk
1.98KB
2025-03-26 12:25:13
taskwarrior-tui-doc-0.26.3-r0.apk
3.94KB
2025-03-26 12:25:13
taskwarrior-tui-fish-completion-0.26.3-r0.apk
1.74KB
2025-03-26 12:25:13
tayga-0.9.5-r0.apk
25.60KB
2025-06-20 10:58:48
tayga-doc-0.9.5-r0.apk
6.06KB
2025-06-20 10:58:48
tcl-curl-7.22.0-r0.apk
28.70KB
2024-10-25 23:07:39
tcl-curl-doc-7.22.0-r0.apk
37.66KB
2024-10-25 23:07:39
tcpbench-3.00-r1.apk
14.08KB
2025-09-13 04:00:11
tcpbench-doc-3.00-r1.apk
5.26KB
2025-09-13 04:00:11
tdrop-0.5.0-r0.apk
11.82KB
2024-10-25 23:07:39
tdrop-doc-0.5.0-r0.apk
8.85KB
2024-10-25 23:07:39
tealdeer-1.8.0-r0.apk
823.57KB
2025-10-28 00:26:32
tealdeer-bash-completion-1.8.0-r0.apk
2.03KB
2025-10-28 00:26:32
tealdeer-fish-completion-1.8.0-r0.apk
2.27KB
2025-10-28 00:26:32
tealdeer-zsh-completion-1.8.0-r0.apk
2.38KB
2025-10-28 00:26:32
telegram-bot-api-9.1-r0.apk
7.13MB
2025-08-02 13:32:36
telegram-tdlib-1.8.51-r0.apk
7.11MB
2025-08-02 13:26:32
telegram-tdlib-dev-1.8.51-r0.apk
188.68KB
2025-08-02 13:26:32
telegram-tdlib-static-1.8.51-r0.apk
19.88MB
2025-08-02 13:26:32
templ-0.3.960-r1.apk
5.23MB
2025-12-04 15:54:31
tenv-4.9.0-r1.apk
9.52MB
2025-12-04 15:54:31
tenv-bash-completion-4.9.0-r1.apk
6.10KB
2025-12-04 15:54:31
tenv-fish-completion-4.9.0-r1.apk
4.33KB
2025-12-04 15:54:31
tenv-zsh-completion-4.9.0-r1.apk
4.04KB
2025-12-04 15:54:31
tere-1.6.0-r0.apk
1.02MB
2024-10-25 23:07:40
tere-doc-1.6.0-r0.apk
13.99KB
2024-10-25 23:07:40
termbox-1.1.2-r1.apk
11.11KB
2024-10-25 23:07:40
termbox-dev-1.1.2-r1.apk
5.73KB
2024-10-25 23:07:40
termbox-static-1.1.2-r1.apk
11.87KB
2024-10-25 23:07:40
termcolor-2.1.0-r0.apk
1.47KB
2024-10-25 23:07:40
termcolor-dev-2.1.0-r0.apk
6.84KB
2024-10-25 23:07:40
terminology-1.14.0-r0.apk
2.68MB
2025-05-28 01:49:10
terminology-doc-1.14.0-r0.apk
9.00KB
2025-05-28 01:49:10
terminology-lang-1.14.0-r0.apk
142.98KB
2025-05-28 01:49:10
termusic-mpv-0.12.0-r0.apk
9.87MB
2025-10-13 04:37:30
texlab-5.23.1-r0.apk
8.70MB
2025-08-22 10:32:05
tfupdate-0.8.2-r11.apk
4.81MB
2025-12-04 15:54:31
tfupdate-doc-0.8.2-r11.apk
2.27KB
2025-12-04 15:54:31
theforceengine-1.09.540-r1.apk
6.81MB
2024-10-25 23:07:40
theforceengine-doc-1.09.540-r1.apk
6.32MB
2024-10-25 23:07:40
thefuck-3.32-r5.apk
83.34KB
2024-10-25 23:07:40
thefuck-pyc-3.32-r5.apk
155.72KB
2024-10-25 23:07:40
thelounge-4.4.3-r0.apk
27.87MB
2024-10-25 23:07:41
thelounge-doc-4.4.3-r0.apk
2.30KB
2024-10-25 23:07:41
thelounge-openrc-4.4.3-r0.apk
2.04KB
2024-10-25 23:07:41
theme.sh-1.1.5-r0.apk
39.05KB
2024-10-25 23:07:41
theme.sh-doc-1.1.5-r0.apk
2.30KB
2024-10-25 23:07:41
throttled-0.10.0-r1.apk
14.55KB
2024-12-15 21:22:54
throttled-openrc-0.10.0-r1.apk
1.61KB
2024-12-15 21:22:54
throttled-pyc-0.10.0-r1.apk
28.37KB
2024-12-15 21:22:54
thumbdrives-0.3.2-r2.apk
10.94KB
2024-10-25 23:07:41
thunar-gtkhash-plugin-1.5-r0.apk
21.81KB
2024-10-25 23:07:41
thunarx-python-0.5.2-r2.apk
9.39KB
2024-10-25 23:07:41
thunarx-python-doc-0.5.2-r2.apk
25.20KB
2024-10-25 23:07:41
tick-1.2.3-r0.apk
10.70KB
2025-10-08 00:03:35
tick-doc-1.2.3-r0.apk
5.51KB
2025-10-08 00:03:35
ticker-5.0.7-r7.apk
3.47MB
2025-12-04 15:54:31
ticker-bash-completion-5.0.7-r7.apk
6.12KB
2025-12-04 15:54:31
ticker-fish-completion-5.0.7-r7.apk
4.34KB
2025-12-04 15:54:31
ticker-zsh-completion-5.0.7-r7.apk
4.06KB
2025-12-04 15:54:31
timeshift-25.12.1-r0.apk
466.81KB
2025-12-12 20:17:33
timeshift-doc-25.12.1-r0.apk
3.16KB
2025-12-12 20:17:33
timeshift-lang-25.12.1-r0.apk
1.02MB
2025-12-12 20:17:33
timew-1.4.3-r1.apk
248.31KB
2024-10-25 23:07:41
timew-bash-completion-1.4.3-r1.apk
2.76KB
2024-10-25 23:07:41
timew-doc-1.4.3-r1.apk
53.15KB
2024-10-25 23:07:41
timewarrior-1.7.1-r0.apk
262.31KB
2024-10-25 23:07:41
timewarrior-doc-1.7.1-r0.apk
22.18KB
2024-10-25 23:07:41
tintin-2.02.51-r0.apk
1.83MB
2025-11-24 14:41:44
tinyemu-2019.12.21-r0.apk
107.57KB
2025-05-26 02:35:52
tinygltf-2.9.7-r0.apk
137.36KB
2025-11-02 22:50:54
tinygltf-dev-2.9.7-r0.apk
57.17KB
2025-11-02 22:50:54
tinyscheme-1.42-r1.apk
51.52KB
2024-10-25 23:07:41
tldr-python-client-3.3.0-r0.apk
12.11KB
2024-12-01 19:09:08
tldr-python-client-doc-3.3.0-r0.apk
3.50KB
2024-12-01 19:09:08
tldr-python-client-pyc-3.3.0-r0.apk
14.17KB
2024-12-01 19:09:08
tmate-2.4.0-r4.apk
235.72KB
2024-10-25 23:07:41
tmate-doc-2.4.0-r4.apk
71.72KB
2024-10-25 23:07:41
tmpl-0.4.0-r16.apk
2.71MB
2025-12-04 15:54:31
tmpl-doc-0.4.0-r16.apk
2.25KB
2025-12-04 15:54:31
tmpmail-1.2.3-r2.apk
7.00KB
2024-10-25 23:07:41
tmpmail-doc-1.2.3-r2.apk
3.22KB
2024-10-25 23:07:41
tmux-resurrect-4.0.0-r0.apk
13.85KB
2024-10-25 23:07:41
tmux-resurrect-doc-4.0.0-r0.apk
8.39KB
2024-10-25 23:07:41
tncattach-0.1.9-r1.apk
21.50KB
2024-10-25 23:07:41
tncattach-doc-0.1.9-r1.apk
3.91KB
2024-10-25 23:07:41
tnef-1.4.18-r0.apk
25.12KB
2024-10-25 23:07:41
tnef-doc-1.4.18-r0.apk
4.20KB
2024-10-25 23:07:41
toapk-1.0-r0.apk
10.36KB
2024-10-25 23:07:41
today-6.2.1-r0.apk
3.18KB
2025-06-17 18:29:10
today-doc-6.2.1-r0.apk
3.30KB
2025-06-17 18:29:10
tofu-ls-0.2.0-r1.apk
5.82MB
2025-12-04 15:54:32
tofu-ls-doc-0.2.0-r1.apk
35.45KB
2025-12-04 15:54:32
tofutf-0.10.0-r10.apk
1.26KB
2025-12-04 15:54:32
tofutf-agent-0.10.0-r10.apk
8.94MB
2025-12-04 15:54:32
tofutf-agent-openrc-0.10.0-r10.apk
1.99KB
2025-12-04 15:54:32
tofutf-cli-0.10.0-r10.apk
8.27MB
2025-12-04 15:54:32
tofutf-server-0.10.0-r10.apk
12.97MB
2025-12-04 15:54:32
tofutf-server-openrc-0.10.0-r10.apk
2.00KB
2025-12-04 15:54:32
toml2json-1.3.2-r0.apk
361.00KB
2025-09-01 16:13:38
toml2json-doc-1.3.2-r0.apk
3.70KB
2025-09-01 16:13:38
topgit-0.19.13-r1.apk
126.65KB
2024-10-25 23:07:42
topgit-bash-completion-0.19.13-r1.apk
4.03KB
2024-10-25 23:07:42
topgit-doc-0.19.13-r1.apk
73.43KB
2024-10-25 23:07:42
torrent-file-editor-0.3.18-r0.apk
359.88KB
2024-10-25 23:07:42
toss-1.1-r1.apk
11.23KB
2025-05-29 14:57:04
touchpad-emulator-0.3-r0.apk
13.56KB
2025-05-26 12:33:42
toybox-0.8.13-r0.apk
262.28KB
2025-10-16 13:30:32
tpm2-pkcs11-1.9.2-r0.apk
131.70KB
2025-12-13 16:54:06
tpm2-pkcs11-dev-1.9.2-r0.apk
1.89KB
2025-12-13 16:54:06
tpm2-pkcs11-pyc-1.9.2-r0.apk
69.90KB
2025-12-13 16:54:06
tqm-1.18.0-r0.apk
4.63MB
2025-12-13 19:47:43
trace-cmd-3.3.1-r1.apk
163.61KB
2025-01-25 09:04:19
trace-cmd-bash-completion-3.3.1-r1.apk
3.35KB
2025-01-25 09:04:19
trace-cmd-dbg-3.3.1-r1.apk
508.74KB
2025-01-25 09:04:19
trace-cmd-doc-3.3.1-r1.apk
171.26KB
2025-01-25 09:04:19
transito-0.9.1-r13.apk
8.15MB
2025-12-04 15:54:32
transito-doc-0.9.1-r13.apk
755.00KB
2025-12-04 15:54:32
transmission-remote-gtk-1.6.0-r0.apk
137.55KB
2024-10-25 23:07:42
transmission-remote-gtk-doc-1.6.0-r0.apk
4.20KB
2024-10-25 23:07:42
transmission-remote-gtk-lang-1.6.0-r0.apk
105.98KB
2024-10-25 23:07:42
trantor-1.5.18-r0.apk
211.45KB
2024-10-25 23:07:42
trantor-dev-1.5.18-r0.apk
34.00KB
2024-10-25 23:07:42
trantor-doc-1.5.18-r0.apk
2.61KB
2024-10-25 23:07:42
tre-0.8.0-r2.apk
24.20KB
2024-10-25 23:07:42
tre-dev-0.8.0-r2.apk
5.18KB
2024-10-25 23:07:42
tre-static-0.8.0-r2.apk
25.49KB
2024-10-25 23:07:42
tree-sitter-caddy-0_git20230322-r0.apk
84.37KB
2024-10-25 23:07:42
tree-sitter-caddy-doc-0_git20230322-r0.apk
2.26KB
2024-10-25 23:07:42
tree-sitter-clojure-0.0.13-r0.apk
22.68KB
2025-07-24 04:27:29
tree-sitter-dart-0_git20250228-r0.apk
99.41KB
2025-03-11 00:54:43
tree-sitter-git-commit-0_git20211225-r4.apk
13.67KB
2025-07-25 15:56:16
tree-sitter-git-diff-0_git20230730-r1.apk
9.69KB
2025-07-25 15:56:37
tree-sitter-git-rebase-0_git20240722-r0.apk
5.02KB
2025-03-11 00:54:43
tree-sitter-gleam-1.1.0-r0.apk
65.99KB
2025-10-09 02:22:48
tree-sitter-hare-0_git20230616-r2.apk
35.08KB
2025-07-24 04:27:29
tree-sitter-haskell-0.23.1-r0.apk
284.32KB
2025-01-09 17:53:23
tree-sitter-hcl-1.2.0-r0.apk
22.49KB
2025-06-19 03:17:47
tree-sitter-just-0_git20230318-r0.apk
13.44KB
2024-10-25 23:07:42
tree-sitter-make-0_git20211216-r2.apk
41.28KB
2024-10-25 23:07:42
tree-sitter-pascal-0.9.1-r0.apk
82.76KB
2024-10-25 23:07:42
tree-sitter-pascal-doc-0.9.1-r0.apk
2.27KB
2024-10-25 23:07:42
tremc-0.9.4-r0.apk
52.58KB
2025-07-16 11:09:17
tremc-bash-completion-0.9.4-r0.apk
1.90KB
2025-07-16 11:09:17
tremc-doc-0.9.4-r0.apk
2.82KB
2025-07-16 11:09:17
tremc-zsh-completion-0.9.4-r0.apk
1.82KB
2025-07-16 11:09:17
trigger-rally-0.6.7-r3.apk
293.44KB
2025-03-25 17:01:24
trigger-rally-data-0.6.7-r3.apk
351.99MB
2025-03-25 17:01:32
trigger-rally-doc-0.6.7-r3.apk
28.13KB
2025-03-25 17:01:32
trippy-0.13.0-r0.apk
2.19MB
2025-05-19 02:21:23
trippy-bash-completion-0.13.0-r0.apk
3.18KB
2025-05-19 02:21:23
trippy-zsh-completion-0.13.0-r0.apk
4.85KB
2025-05-19 02:21:23
trivy-0.68.1-r0.apk
64.99MB
2025-12-04 15:54:34
tsung-1.8.0-r3.apk
721.75KB
2025-06-13 08:36:27
ttfautohint-1.8.4-r0.apk
26.48KB
2024-10-25 23:07:49
ttfautohint-dev-1.8.4-r0.apk
152.01KB
2024-10-25 23:07:49
ttfautohint-doc-1.8.4-r0.apk
8.10KB
2024-10-25 23:07:49
ttfautohint-gui-1.8.4-r0.apk
55.09KB
2024-10-25 23:07:49
ttfautohint-libs-1.8.4-r0.apk
103.97KB
2024-10-25 23:07:49
tty-clock-2.3_git20240104-r0.apk
8.40KB
2024-10-25 23:07:49
tty-clock-doc-2.3_git20240104-r0.apk
3.10KB
2024-10-25 23:07:49
tty-proxy-0.0.2-r33.apk
2.60MB
2025-12-04 15:54:34
tty-share-2.4.0-r23.apk
3.73MB
2025-12-04 15:54:34
ttynvt-0.17-r0.apk
15.03KB
2025-08-22 15:50:34
ttyper-1.6.0-r0.apk
609.88KB
2025-02-05 23:45:34
tuckr-0.12.0-r0.apk
515.25KB
2025-11-30 12:11:45
tui-journal-0.10.0-r0.apk
1.91MB
2024-10-25 23:07:49
tui-journal-doc-0.10.0-r0.apk
6.90KB
2024-10-25 23:07:49
tup-0.7.11-r1.apk
229.96KB
2025-06-19 11:34:10
tup-doc-0.7.11-r1.apk
20.86KB
2025-06-19 11:34:10
tup-vim-0.7.11-r1.apk
2.51KB
2025-06-19 11:34:10
tuptime-5.2.4-r2.apk
13.97KB
2025-09-05 09:56:17
tuptime-doc-5.2.4-r2.apk
3.80KB
2025-09-05 09:56:17
tuptime-openrc-5.2.4-r2.apk
1.75KB
2025-09-05 09:56:17
turn-rs-3.4.0-r1.apk
594.07KB
2025-06-12 15:08:50
turn-rs-doc-3.4.0-r1.apk
10.83KB
2025-06-12 15:08:50
turn-rs-openrc-3.4.0-r1.apk
1.96KB
2025-06-12 15:08:50
turnstile-0.1.10-r3.apk
37.98KB
2024-10-25 23:07:49
turnstile-doc-0.1.10-r3.apk
5.70KB
2024-10-25 23:07:49
turnstile-openrc-0.1.10-r3.apk
1.80KB
2024-10-25 23:07:49
turntable-0.3.3-r0.apk
175.30KB
2025-05-27 16:01:36
turntable-lang-0.3.3-r0.apk
12.34KB
2025-05-27 16:01:36
twemproxy-0.5.0-r0.apk
60.95KB
2024-10-25 23:07:49
twemproxy-doc-0.5.0-r0.apk
17.21KB
2024-10-25 23:07:49
twiggy-0.6.0-r3.apk
785.38KB
2024-10-25 23:07:49
twinkle-1.10.3-r3.apk
2.41MB
2025-01-04 23:55:14
twinkle-doc-1.10.3-r3.apk
3.50KB
2025-01-04 23:55:14
ty-0.0.1_alpha19-r0.apk
5.79MB
2025-09-01 03:25:40
ty-bash-completion-0.0.1_alpha19-r0.apk
2.54KB
2025-09-01 03:25:40
ty-fish-completion-0.0.1_alpha19-r0.apk
3.08KB
2025-09-01 03:25:40
ty-pyc-0.0.1_alpha19-r0.apk
3.88KB
2025-09-01 03:25:40
ty-zsh-completion-0.0.1_alpha19-r0.apk
3.39KB
2025-09-01 03:25:40
typobuster-1.0.0-r0.apk
129.35KB
2025-04-24 01:22:10
typstyle-0.12.14-r0.apk
528.58KB
2025-01-12 15:45:28
u1db-qt-0.1.8-r0.apk
92.60KB
2024-10-25 23:07:49
uasm-2.56.2-r0.apk
286.63KB
2024-10-25 23:07:49
ubase-20200605-r3.apk
45.71KB
2024-10-25 23:07:49
ubase-doc-20200605-r3.apk
21.23KB
2024-10-25 23:07:49
ubuntu-archive-keyring-2023.11.28.1-r0.apk
16.15KB
2024-10-25 23:07:49
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2.27KB
2024-10-25 23:07:49
ubus-2025.10.17-r0.apk
30.02KB
2025-10-25 15:57:56
ubus-dev-2025.10.17-r0.apk
5.60KB
2025-10-25 15:57:56
uclient-20241022-r0.apk
15.83KB
2025-05-30 01:00:44
uclient-dev-20241022-r0.apk
3.55KB
2025-05-30 01:00:44
uclient-fetch-20241022-r0.apk
11.41KB
2025-05-30 01:00:44
udpt-3.1.2-r0.apk
717.48KB
2024-10-25 23:07:49
udpt-openrc-3.1.2-r0.apk
1.80KB
2024-10-25 23:07:49
ueberzug-18.3.1-r0.apk
64.21KB
2025-02-22 13:09:09
ueberzug-pyc-18.3.1-r0.apk
63.76KB
2025-02-22 13:09:09
ufw-docker-250710-r0.apk
7.43KB
2025-09-14 09:51:52
ufw-docker-doc-250710-r0.apk
13.58KB
2025-09-14 09:51:52
undock-0.10.0-r5.apk
9.92MB
2025-12-04 15:54:34
unicorn-2.1.4-r0.apk
6.93MB
2025-10-14 17:04:07
unicorn-dev-2.1.4-r0.apk
8.03MB
2025-10-14 17:04:07
unit-php81-1.35.0-r1.apk
30.91KB
2025-09-15 18:02:13
unit-php82-1.35.0-r0.apk
30.91KB
2025-10-24 05:07:44
up-0.4-r34.apk
1.21MB
2025-12-04 15:54:34
update-sysfs-2.1.1_p7-r0.apk
3.13KB
2025-11-12 12:44:48
upterm-0.17.0-r1.apk
6.92MB
2025-12-04 15:54:34
upterm-bash-completion-0.17.0-r1.apk
5.56KB
2025-12-04 15:54:34
upterm-doc-0.17.0-r1.apk
7.04KB
2025-12-04 15:54:34
upterm-server-0.17.0-r1.apk
6.51MB
2025-12-04 15:54:34
upterm-server-openrc-0.17.0-r1.apk
1.96KB
2025-12-04 15:54:34
upterm-zsh-completion-0.17.0-r1.apk
4.04KB
2025-12-04 15:54:34
urlwatch-2.28-r2.apk
48.71KB
2024-10-25 23:07:50
urlwatch-doc-2.28-r2.apk
33.19KB
2024-10-25 23:07:50
urlwatch-pyc-2.28-r2.apk
101.38KB
2024-10-25 23:07:50
usbmuxd-1.1.1_git20250201-r9.apk
35.55KB
2025-08-24 11:03:04
usbmuxd-doc-1.1.1_git20250201-r9.apk
3.01KB
2025-08-24 11:03:04
usbmuxd-udev-1.1.1_git20250201-r9.apk
2.09KB
2025-08-24 11:03:04
usbtop-1.0-r0.apk
12.86KB
2025-04-12 15:26:44
usql-0.19.26-r1.apk
42.49MB
2025-12-04 15:54:35
ustr-1.0.4-r1.apk
48.03KB
2024-10-25 23:07:50
ustr-debug-1.0.4-r1.apk
64.61KB
2024-10-25 23:07:50
ustr-dev-1.0.4-r1.apk
91.45KB
2024-10-25 23:07:50
ustr-doc-1.0.4-r1.apk
96.58KB
2024-10-25 23:07:50
ustr-static-1.0.4-r1.apk
131.76KB
2024-10-25 23:07:50
utop-2.9.1-r4.apk
13.28MB
2024-10-25 23:07:50
utop-common-2.9.1-r4.apk
2.02KB
2024-10-25 23:07:50
utop-doc-2.9.1-r4.apk
5.72KB
2024-10-25 23:07:50
utop-emacs-2.9.1-r4.apk
12.81KB
2024-10-25 23:07:50
utop-full-2.9.1-r4.apk
13.29MB
2024-10-25 23:07:50
uucp-1.07-r6.apk
467.59KB
2024-10-25 23:07:50
uucp-doc-1.07-r6.apk
118.10KB
2024-10-25 23:07:50
uxn-1.0-r0.apk
42.09KB
2024-10-25 23:07:50
uxn-doc-1.0-r0.apk
4.16KB
2024-10-25 23:07:50
vali-0.1.0-r1.apk
27.34KB
2025-11-30 13:57:20
vali-dev-0.1.0-r1.apk
4.31KB
2025-11-30 13:57:20
vals-0.42.4-r1.apk
35.93MB
2025-12-04 15:54:35
varnish-modules-0.26.0-r0.apk
42.05KB
2025-07-06 21:24:26
varnish-modules-doc-0.26.0-r0.apk
21.53KB
2025-07-06 21:24:26
vcdimager-2.0.1-r5.apk
481.26KB
2025-01-25 09:04:24
vcdimager-dev-2.0.1-r5.apk
118.15KB
2025-01-25 09:04:24
vcdimager-doc-2.0.1-r5.apk
74.29KB
2025-01-25 09:04:24
vcsh-2.0.5-r0.apk
8.79KB
2024-10-25 23:07:51
vcsh-bash-completion-2.0.5-r0.apk
2.92KB
2024-10-25 23:07:51
vcsh-doc-2.0.5-r0.apk
27.19KB
2024-10-25 23:07:51
vcsh-zsh-completion-2.0.5-r0.apk
2.93KB
2024-10-25 23:07:51
vcstool-0.3.0-r5.apk
34.80KB
2024-10-25 23:07:51
vcstool-bash-completion-0.3.0-r5.apk
1.75KB
2024-10-25 23:07:51
vcstool-pyc-0.3.0-r5.apk
57.72KB
2024-10-25 23:07:51
vcstool-tcsh-completion-0.3.0-r5.apk
1.63KB
2024-10-25 23:07:51
vcstool-zsh-completion-0.3.0-r5.apk
1.73KB
2024-10-25 23:07:51
vectoroids-1.1.0-r2.apk
281.13KB
2024-10-25 23:07:51
vectoroids-doc-1.1.0-r2.apk
2.29KB
2024-10-25 23:07:51
vera++-1.3.0-r11.apk
185.20KB
2025-10-12 17:25:38
vertigo-0.3.4-r1.apk
1.58MB
2025-12-04 15:54:35
vertigo-doc-0.3.4-r1.apk
1.82KB
2025-12-04 15:54:35
vfd-configurations-0_git20230612-r0.apk
24.80KB
2024-10-25 23:07:51
vice-3.9-r2.apk
12.58MB
2025-09-27 16:41:04
vice-doc-3.9-r2.apk
2.20MB
2025-09-27 16:41:04
video-trimmer-25.03-r0.apk
397.04KB
2025-06-30 16:46:38
video-trimmer-lang-25.03-r0.apk
92.59KB
2025-06-30 16:46:38
viewnior-1.8-r1.apk
69.82KB
2024-10-25 23:07:51
viewnior-doc-1.8-r1.apk
2.10KB
2024-10-25 23:07:51
viewnior-lang-1.8-r1.apk
85.15KB
2024-10-25 23:07:51
vile-9.8z_p1-r2.apk
757.85KB
2025-10-08 00:03:35
vile-common-9.8z_p1-r2.apk
354.31KB
2025-10-08 00:03:35
vile-doc-9.8z_p1-r2.apk
357.18KB
2025-10-08 00:03:35
vim-airline-0.11-r0.apk
86.38KB
2024-10-25 23:07:51
vim-airline-doc-0.11-r0.apk
12.13KB
2024-10-25 23:07:51
vim-nerdtree-7.1.3-r0.apk
66.56KB
2025-03-04 23:00:11
vim-rust-305-r1.apk
19.90KB
2025-08-21 10:55:26
vimv-3.1.0-r0.apk
260.99KB
2025-10-13 00:20:14
vimv-doc-3.1.0-r0.apk
2.00KB
2025-10-13 00:20:14
virtctl-1.6.2-r1.apk
15.03MB
2025-12-04 15:54:36
virtctl-bash-completion-1.6.2-r1.apk
5.12KB
2025-12-04 15:54:36
virtctl-fish-completion-1.6.2-r1.apk
4.33KB
2025-12-04 15:54:36
virtctl-zsh-completion-1.6.2-r1.apk
4.04KB
2025-12-04 15:54:36
virter-0.29.0-r5.apk
5.66MB
2025-12-04 15:54:36
virter-bash-completion-0.29.0-r5.apk
6.11KB
2025-12-04 15:54:36
virter-doc-0.29.0-r5.apk
14.88KB
2025-12-04 15:54:36
virter-fish-completion-0.29.0-r5.apk
4.33KB
2025-12-04 15:54:36
virter-zsh-completion-0.29.0-r5.apk
4.05KB
2025-12-04 15:54:36
virtualgl-3.1.4-r0.apk
1.68MB
2025-10-09 02:54:56
virtualgl-dev-3.1.4-r0.apk
6.12KB
2025-10-09 02:54:56
virtualgl-doc-3.1.4-r0.apk
314.67KB
2025-10-09 02:54:56
visidata-3.3-r0.apk
424.65KB
2025-09-15 16:56:41
visidata-doc-3.3-r0.apk
18.39KB
2025-09-15 16:56:41
visidata-pyc-3.3-r0.apk
845.81KB
2025-09-15 16:56:41
visidata-zsh-completion-3.3-r0.apk
9.43KB
2025-09-15 16:56:41
vit-2.3.2-r1.apk
80.48KB
2024-10-25 23:07:51
vit-pyc-2.3.2-r1.apk
151.48KB
2024-10-25 23:07:51
vkbasalt-0.3.2.10-r0.apk
367.31KB
2024-10-25 23:07:51
vkbasalt-doc-0.3.2.10-r0.apk
3.06KB
2024-10-25 23:07:51
vmtouch-1.3.1-r0.apk
13.07KB
2024-10-25 23:07:51
vmtouch-doc-1.3.1-r0.apk
8.04KB
2024-10-25 23:07:51
voikko-fi-2.5-r0.apk
1.56MB
2024-10-25 23:07:51
volumeicon-0.5.1-r1.apk
40.63KB
2024-10-25 23:07:51
volumeicon-lang-0.5.1-r1.apk
3.76KB
2024-10-25 23:07:51
vym-2.9.26-r0.apk
2.78MB
2024-10-25 23:07:51
vym-doc-2.9.26-r0.apk
3.39MB
2024-10-25 23:07:51
w_scan2-1.0.17-r0.apk
142.11KB
2025-06-11 20:33:18
w_scan2-doc-1.0.17-r0.apk
4.15KB
2025-06-11 20:33:18
wabt-1.0.37-r0.apk
3.77MB
2025-04-07 00:39:02
wabt-doc-1.0.37-r0.apk
13.42KB
2025-04-07 00:39:02
waifu2x-converter-cpp-5.3.4-r9.apk
11.59MB
2025-07-07 10:21:20
wakeonlan-0.42-r0.apk
4.50KB
2024-10-25 23:07:52
wakeonlan-doc-0.42-r0.apk
7.51KB
2024-10-25 23:07:52
walk-1.13.0-r8.apk
3.04MB
2025-12-04 15:54:36
walk-doc-1.13.0-r8.apk
2.23KB
2025-12-04 15:54:36
walk-sor-0_git20190920-r1.apk
5.16KB
2024-10-25 23:07:52
walk-sor-doc-0_git20190920-r1.apk
7.77KB
2024-10-25 23:07:52
warp-s3-1.3.1-r1.apk
8.10MB
2025-12-04 15:54:36
warpinator-2.0.0-r0.apk
220.49KB
2025-11-25 21:03:34
warpinator-lang-2.0.0-r0.apk
231.67KB
2025-11-25 21:03:34
warpinator-nemo-2.0.0-r0.apk
4.30KB
2025-11-25 21:03:34
watchbind-0.2.1-r1.apk
1.11MB
2024-10-25 23:07:52
watchbind-doc-0.2.1-r1.apk
6.57KB
2024-10-25 23:07:52
watchdog-5.16-r2.apk
41.72KB
2024-10-25 23:07:52
watchdog-doc-5.16-r2.apk
14.22KB
2024-10-25 23:07:52
watershot-0.2.0-r0.apk
1.66MB
2024-10-25 23:07:52
way-displays-1.15.0-r0.apk
99.29KB
2025-09-30 12:47:21
way-displays-doc-1.15.0-r0.apk
4.55KB
2025-09-30 12:47:21
way-secure-0.2.0-r0.apk
208.21KB
2025-04-19 01:10:48
way-secure-doc-0.2.0-r0.apk
3.03KB
2025-04-19 01:10:48
wayfarer-1.4.0-r0.apk
61.35KB
2025-11-05 20:52:40
wayfire-0.9.0-r0.apk
2.37MB
2025-02-27 22:17:07
wayfire-dev-0.9.0-r0.apk
129.85KB
2025-02-27 22:17:07
wayfire-doc-0.9.0-r0.apk
3.64KB
2025-02-27 22:17:07
wayfire-plugins-extra-0.9.0-r0.apk
526.02KB
2025-02-27 22:17:07
waylevel-1.0.0-r1.apk
301.73KB
2024-10-25 23:07:52
waynergy-0.0.17-r1.apk
45.05KB
2025-09-13 04:00:11
wayqt-0.3.0-r1.apk
126.45KB
2025-08-21 10:47:58
wayqt-dev-0.3.0-r1.apk
18.36KB
2025-08-21 10:47:58
wbg-1.3.0-r1.apk
38.81KB
2025-11-01 15:37:20
wch-isp-0.4.1-r2.apk
10.41KB
2024-10-25 23:07:52
wch-isp-doc-0.4.1-r2.apk
2.66KB
2024-10-25 23:07:52
wch-isp-udev-rules-0.4.1-r2.apk
1.65KB
2024-10-25 23:07:52
wcm-0.9.0-r0.apk
353.02KB
2025-02-27 22:17:07
webhookd-1.20.2-r5.apk
3.27MB
2025-12-04 15:54:36
webhookd-doc-1.20.2-r5.apk
2.26KB
2025-12-04 15:54:36
webhookd-openrc-1.20.2-r5.apk
2.25KB
2025-12-04 15:54:36
webtunnel-0.0.2-r6.apk
3.70MB
2025-12-04 15:54:36
weggli-0.2.4-r1.apk
825.54KB
2024-10-25 23:07:52
wf-config-0.9.0-r0.apk
103.31KB
2025-02-27 22:17:07
wf-config-dev-0.9.0-r0.apk
16.26KB
2025-02-27 22:17:07
wf-shell-0.9.0-r0.apk
6.06MB
2025-02-27 22:17:07
wf-shell-dev-0.9.0-r0.apk
1.65KB
2025-02-27 22:17:07
wf-shell-doc-0.9.0-r0.apk
3.08KB
2025-02-27 22:17:07
wgcf-2.2.29-r1.apk
4.54MB
2025-12-04 15:54:36
wgcf-bash-completion-2.2.29-r1.apk
6.10KB
2025-12-04 15:54:36
wgcf-fish-completion-2.2.29-r1.apk
4.32KB
2025-12-04 15:54:36
wgcf-zsh-completion-2.2.29-r1.apk
4.05KB
2025-12-04 15:54:36
wiki-tui-0.9.1-r0.apk
2.18MB
2025-11-02 20:13:35
wiki-tui-doc-0.9.1-r0.apk
4.53KB
2025-11-02 20:13:35
wiremapper-0.10.0-r0.apk
21.65KB
2024-10-25 23:07:52
wiringx-0_git20240317-r2.apk
48.45KB
2025-03-03 18:08:48
wiringx-dev-0_git20240317-r2.apk
66.89KB
2025-03-03 18:08:48
witchery-0.0.3-r2.apk
3.20KB
2024-10-25 23:07:52
wk-adblock-0.0.4-r5.apk
172.39KB
2024-10-25 23:07:52
wk-adblock-doc-0.0.4-r5.apk
2.09KB
2024-10-25 23:07:52
wl-clip-persist-0.5.0-r0.apk
957.91KB
2025-10-26 14:29:12
wl-clipboard-x11-5-r3.apk
3.42KB
2024-10-25 23:07:52
wl-clipboard-x11-doc-5-r3.apk
2.94KB
2024-10-25 23:07:52
wl-gammarelay-0.1.3-r4.apk
1.63MB
2025-12-04 15:54:36
wl-screenrec-0.1.7-r1.apk
568.05KB
2025-08-27 19:17:32
wl-screenrec-bash-completion-0.1.7-r1.apk
2.42KB
2025-08-27 19:17:32
wl-screenrec-doc-0.1.7-r1.apk
9.50KB
2025-08-27 19:17:32
wl-screenrec-fish-completion-0.1.7-r1.apk
3.21KB
2025-08-27 19:17:32
wl-screenrec-zsh-completion-0.1.7-r1.apk
3.65KB
2025-08-27 19:17:32
wlavu-0_git20201101-r1.apk
11.37KB
2024-10-25 23:07:52
wlclock-1.0.1-r0.apk
14.99KB
2024-10-25 23:07:52
wlclock-doc-1.0.1-r0.apk
3.29KB
2024-10-25 23:07:52
wlr-sunclock-1.2.1-r0.apk
42.71KB
2025-12-01 16:08:30
wlroots0.17-0.17.4-r3.apk
348.89KB
2025-08-13 00:54:53
wlroots0.17-dbg-0.17.4-r3.apk
1.47MB
2025-08-13 00:54:53
wlroots0.17-dev-0.17.4-r3.apk
77.41KB
2025-08-13 00:54:53
wlroots0.18-0.18.3-r0.apk
360.97KB
2025-11-29 01:54:22
wlroots0.18-dbg-0.18.3-r0.apk
1.53MB
2025-11-29 01:54:22
wlroots0.18-dev-0.18.3-r0.apk
82.64KB
2025-11-29 01:54:22
wlroots0.18-static-0.18.3-r0.apk
8.03MB
2025-11-29 01:54:22
wmctrl-1.07-r1.apk
13.71KB
2024-10-25 23:07:52
wmctrl-doc-1.07-r1.apk
5.10KB
2024-10-25 23:07:52
wmi-client-1.3.16-r5.apk
2.30MB
2024-10-25 23:07:52
wok-3.0.0-r6.apk
156.60KB
2024-10-25 23:07:52
wok-doc-3.0.0-r6.apk
3.67KB
2024-10-25 23:07:52
wok-lang-3.0.0-r6.apk
16.04KB
2024-10-25 23:07:52
wok-pyc-3.0.0-r6.apk
118.68KB
2024-10-25 23:07:52
wol-0.7.1-r3.apk
24.72KB
2024-10-25 23:07:52
wol-doc-0.7.1-r3.apk
5.52KB
2024-10-25 23:07:52
wol-lang-0.7.1-r3.apk
8.16KB
2024-10-25 23:07:52
wolfssh-1.4.17-r1.apk
130.23KB
2025-09-21 17:09:04
wolfssh-dev-1.4.17-r1.apk
169.90KB
2025-09-21 17:09:04
wpa_actiond-1.4-r7.apk
9.27KB
2024-10-25 23:07:53
wpa_actiond-openrc-1.4-r7.apk
2.22KB
2024-10-25 23:07:53
wput-0.6.2-r4.apk
38.60KB
2024-10-25 23:07:53
wput-doc-0.6.2-r4.apk
8.25KB
2024-10-25 23:07:53
wshowkeys-1.0-r0.apk
12.31KB
2024-10-25 23:07:53
wsmancli-2.8.0-r0.apk
17.08KB
2025-07-15 11:22:16
wsmancli-doc-2.8.0-r0.apk
3.67KB
2025-07-15 11:22:16
wtfutil-0.43.0-r18.apk
19.16MB
2025-12-04 15:54:37
x11docker-7.6.0-r1.apk
113.43KB
2024-10-25 23:07:53
x11docker-doc-7.6.0-r1.apk
9.39KB
2024-10-25 23:07:53
xa-2.4.1-r0.apk
69.11KB
2025-02-25 14:36:40
xa-doc-2.4.1-r0.apk
17.20KB
2025-02-25 14:36:40
xcape-1.2-r1.apk
6.64KB
2025-05-15 00:14:28
xcape-doc-1.2-r1.apk
3.08KB
2025-05-15 00:14:28
xcompmgr-1.1.10-r0.apk
14.89KB
2025-06-28 14:24:21
xcompmgr-doc-1.1.10-r0.apk
2.60KB
2025-06-28 14:24:21
xdg-native-messaging-proxy-0.1.0-r0.apk
20.23KB
2025-11-27 16:19:38
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
1.77KB
2025-11-27 16:19:38
xdg-ninja-0.2.0.2-r0.apk
70.45KB
2024-10-25 23:07:53
xed-3.8.4-r0.apk
1.06MB
2025-10-23 10:55:25
xed-dev-3.8.4-r0.apk
13.65KB
2025-10-23 10:55:25
xed-doc-3.8.4-r0.apk
970.89KB
2025-10-23 10:55:25
xed-lang-3.8.4-r0.apk
2.09MB
2025-10-23 10:55:25
xed-python-3.8.4-r0.apk
24.49KB
2025-10-23 10:55:25
xendmail-0.4.4-r0.apk
938.61KB
2025-08-06 11:50:56
xendmail-doc-0.4.4-r0.apk
2.53KB
2025-08-06 11:50:56
xfce4-hamster-plugin-1.17-r0.apk
30.64KB
2024-10-25 23:07:54
xfce4-hamster-plugin-lang-1.17-r0.apk
5.07KB
2024-10-25 23:07:54
xfce4-mixer-4.18.1-r2.apk
81.95KB
2024-10-25 23:07:54
xfce4-mixer-doc-4.18.1-r2.apk
2.48KB
2024-10-25 23:07:54
xfce4-mixer-lang-4.18.1-r2.apk
58.74KB
2024-10-25 23:07:54
xfce4-panel-profiles-1.1.1-r1.apk
57.59KB
2025-11-24 14:53:28
xfce4-panel-profiles-doc-1.1.1-r1.apk
19.95KB
2025-11-24 14:53:28
xfce4-panel-profiles-lang-1.1.1-r1.apk
53.48KB
2025-11-24 14:53:28
xfd-1.1.4-r0.apk
12.89KB
2024-10-25 23:07:54
xfd-doc-1.1.4-r0.apk
4.93KB
2024-10-25 23:07:54
xfsdump-3.2.0-r0.apk
386.77KB
2025-08-30 23:48:03
xfsdump-doc-3.2.0-r0.apk
42.45KB
2025-08-30 23:48:03
xgalaga-2.1.1.0-r1.apk
275.59KB
2024-10-25 23:07:54
xgalaga-doc-2.1.1.0-r1.apk
2.55KB
2024-10-25 23:07:54
xiccd-0.3.0_git20211219-r1.apk
14.89KB
2024-10-25 23:07:54
xiccd-doc-0.3.0_git20211219-r1.apk
3.30KB
2024-10-25 23:07:54
xisxwayland-2-r1.apk
3.87KB
2024-10-25 23:07:54
xisxwayland-doc-2-r1.apk
1.96KB
2024-10-25 23:07:54
xkb-switch-1.8.5-r1.apk
18.79KB
2025-05-15 00:14:28
xkb-switch-doc-1.8.5-r1.apk
2.12KB
2025-05-15 00:14:28
xlhtml-0.5.1-r0.apk
10.74KB
2024-10-25 23:07:54
xlhtml-doc-0.5.1-r0.apk
2.48KB
2024-10-25 23:07:54
xload-1.1.4-r0.apk
6.22KB
2024-10-25 23:07:54
xload-doc-1.1.4-r0.apk
3.21KB
2024-10-25 23:07:54
xmag-1.0.8-r0.apk
15.80KB
2024-10-25 23:07:54
xmag-doc-1.0.8-r0.apk
4.74KB
2024-10-25 23:07:54
xml2rfc-3.28.1-r2.apk
351.85KB
2025-09-09 11:50:36
xml2rfc-pyc-3.28.1-r2.apk
407.56KB
2025-09-09 11:50:36
xmoto-0.6.3-r0.apk
1.71MB
2025-10-03 20:02:31
xmoto-data-0.6.3-r0.apk
36.69MB
2025-10-03 20:02:31
xmoto-doc-0.6.3-r0.apk
5.90KB
2025-10-03 20:02:31
xmoto-lang-0.6.3-r0.apk
532.06KB
2025-10-03 20:02:31
xmp-4.2.0-r0.apk
21.77KB
2024-10-25 23:07:54
xmp-doc-4.2.0-r0.apk
5.30KB
2024-10-25 23:07:54
xmpp-dns-0.2.4-r29.apk
1.94MB
2025-12-04 15:54:37
xmppipe-0.16.0-r1.apk
15.45KB
2024-10-25 23:07:54
xone-src-0.5.0-r0.apk
57.67KB
2025-12-12 20:35:48
xonsh-0.19.9-r0.apk
588.90KB
2025-10-31 13:58:22
xonsh-pyc-0.19.9-r0.apk
1.02MB
2025-10-31 13:58:22
xosview-1.24-r0.apk
111.62KB
2024-10-25 23:07:54
xosview-doc-1.24-r0.apk
12.50KB
2024-10-25 23:07:54
xsane-0.999-r2.apk
1.51MB
2024-10-25 23:07:54
xsane-doc-0.999-r2.apk
4.31KB
2024-10-25 23:07:54
xsane-lang-0.999-r2.apk
440.33KB
2024-10-25 23:07:54
xsecurelock-1.9.0-r1.apk
61.72KB
2024-10-25 23:07:54
xsecurelock-doc-1.9.0-r1.apk
17.75KB
2024-10-25 23:07:54
xsoldier-1.8-r2.apk
68.44KB
2024-10-25 23:07:54
xsoldier-doc-1.8-r2.apk
2.62KB
2024-10-25 23:07:54
xtensor-0.27.0-r0.apk
269.71KB
2025-08-26 15:09:52
xtl-0.8.1-r0.apk
89.74KB
2025-10-27 15:41:51
xva-img-1.5-r0.apk
16.40KB
2024-10-25 23:07:54
xvidtune-1.0.4-r0.apk
16.33KB
2024-10-25 23:07:54
xvidtune-doc-1.0.4-r0.apk
4.17KB
2024-10-25 23:07:54
xvile-9.8z_p1-r2.apk
783.16KB
2025-10-08 00:03:35
xwayland-satellite-0.7-r0.apk
0.99MB
2025-08-31 01:13:42
yamkix-0.10.0-r1.apk
14.23KB
2024-10-25 23:07:54
yamkix-pyc-0.10.0-r1.apk
11.77KB
2024-10-25 23:07:54
yaml-language-server-1.19.2-r0.apk
666.05KB
2025-10-09 01:16:44
yaml-language-server-doc-1.19.2-r0.apk
2.28KB
2025-10-09 01:16:44
yamldiff-0.3.0-r3.apk
1.64MB
2025-12-04 15:54:37
yamldiff-doc-0.3.0-r3.apk
2.25KB
2025-12-04 15:54:37
yarn-berry-4.9.1-r0.apk
1.01MB
2025-04-16 01:00:24
yaru-common-25.10.1-r0.apk
3.84MB
2025-07-15 11:22:17
yaru-icon-theme-25.10.1-r0.apk
35.33MB
2025-07-15 11:22:17
yaru-icon-theme-bark-25.10.1-r0.apk
1.13MB
2025-07-15 11:22:17
yaru-icon-theme-blue-25.10.1-r0.apk
1.17MB
2025-07-15 11:22:17
yaru-icon-theme-magenta-25.10.1-r0.apk
1.15MB
2025-07-15 11:22:17
yaru-icon-theme-mate-25.10.1-r0.apk
1.33MB
2025-07-15 11:22:17
yaru-icon-theme-olive-25.10.1-r0.apk
1.15MB
2025-07-15 11:22:17
yaru-icon-theme-prussiangreen-25.10.1-r0.apk
1.16MB
2025-07-15 11:22:17
yaru-icon-theme-purple-25.10.1-r0.apk
1.19MB
2025-07-15 11:22:17
yaru-icon-theme-red-25.10.1-r0.apk
1.20MB
2025-07-15 11:22:17
yaru-icon-theme-sage-25.10.1-r0.apk
1.18MB
2025-07-15 11:22:17
yaru-icon-theme-viridian-25.10.1-r0.apk
1.16MB
2025-07-15 11:22:17
yaru-schemas-25.10.1-r0.apk
1.85KB
2025-07-15 11:22:17
yaru-shell-25.10.1-r0.apk
226.77KB
2025-07-15 11:22:17
yaru-sounds-25.10.1-r0.apk
1.20MB
2025-07-15 11:22:17
yaru-theme-25.10.1-r0.apk
840.39KB
2025-07-15 11:22:17
yaru-theme-bark-25.10.1-r0.apk
762.79KB
2025-07-15 11:22:17
yaru-theme-blue-25.10.1-r0.apk
766.05KB
2025-07-15 11:22:17
yaru-theme-hdpi-25.10.1-r0.apk
73.26KB
2025-07-15 11:22:17
yaru-theme-magenta-25.10.1-r0.apk
761.85KB
2025-07-15 11:22:17
yaru-theme-mate-25.10.1-r0.apk
771.95KB
2025-07-15 11:22:17
yaru-theme-olive-25.10.1-r0.apk
759.22KB
2025-07-15 11:22:17
yaru-theme-prussiangreen-25.10.1-r0.apk
759.71KB
2025-07-15 11:22:17
yaru-theme-purple-25.10.1-r0.apk
756.92KB
2025-07-15 11:22:17
yaru-theme-red-25.10.1-r0.apk
759.91KB
2025-07-15 11:22:17
yaru-theme-sage-25.10.1-r0.apk
763.20KB
2025-07-15 11:22:17
yaru-theme-viridian-25.10.1-r0.apk
760.25KB
2025-07-15 11:22:17
ydcv-0.7-r8.apk
20.02KB
2024-10-25 23:07:55
ydcv-pyc-0.7-r8.apk
10.58KB
2024-10-25 23:07:55
ydcv-zsh-completion-0.7-r8.apk
2.15KB
2024-10-25 23:07:55
yices2-2.6.5-r0.apk
1.95MB
2025-03-03 01:04:45
yices2-dev-2.6.5-r0.apk
41.39KB
2025-03-03 01:04:45
yices2-libs-2.6.5-r0.apk
730.89KB
2025-03-03 01:04:45
yoshimi-2.3.3.3-r0.apk
5.87MB
2025-03-14 00:33:15
yoshimi-doc-2.3.3.3-r0.apk
4.52MB
2025-03-14 00:33:15
yosys-0.57-r0.apk
22.61MB
2025-10-25 00:15:19
yosys-dev-0.57-r0.apk
277.27KB
2025-10-25 00:15:19
youtube-viewer-3.11.6-r0.apk
83.84KB
2025-06-09 08:05:29
youtube-viewer-doc-3.11.6-r0.apk
40.62KB
2025-06-09 08:05:29
youtube-viewer-gtk-3.11.6-r0.apk
171.60KB
2025-06-09 08:05:29
ytmdl-2024.08.15.1-r1.apk
50.17KB
2025-05-15 00:14:28
ytmdl-bash-completion-2024.08.15.1-r1.apk
2.27KB
2025-05-15 00:14:28
ytmdl-pyc-2024.08.15.1-r1.apk
78.10KB
2025-05-15 00:14:28
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2.11KB
2025-05-15 00:14:28
yubikey-agent-0.1.6-r17.apk
1.94MB
2025-12-04 15:54:37
z-1.12-r0.apk
4.58KB
2024-10-25 23:07:55
z-doc-1.12-r0.apk
3.89KB
2024-10-25 23:07:55
zafiro-icon-theme-1.3-r0.apk
19.23MB
2024-10-25 23:07:56
zarchive-0.1.2-r2.apk
15.15KB
2024-10-25 23:07:56
zarchive-dev-0.1.2-r2.apk
6.74KB
2024-10-25 23:07:56
zarchive-libs-0.1.2-r2.apk
22.13KB
2024-10-25 23:07:56
zfs-src-2.4.0_rc5-r0.apk
32.98MB
2025-12-11 13:57:56
zfsbootmenu-2.3.0-r1.apk
128.24KB
2024-10-25 23:07:56
zfsbootmenu-doc-2.3.0-r1.apk
15.79KB
2024-10-25 23:07:56
zile-2.6.2-r1.apk
110.01KB
2024-10-25 23:07:56
zile-doc-2.6.2-r1.apk
15.81KB
2024-10-25 23:07:56
zita-njbridge-0.4.8-r1.apk
25.83KB
2024-10-25 23:07:56
zita-njbridge-doc-0.4.8-r1.apk
5.24KB
2024-10-25 23:07:56
zita-resampler-1.11.2-r0.apk
17.00KB
2025-04-15 00:16:08
zita-resampler-dev-1.11.2-r0.apk
3.26KB
2025-04-15 00:16:08
zita-resampler-doc-1.11.2-r0.apk
4.04KB
2025-04-15 00:16:08
zizmor-1.17.0-r0.apk
4.07MB
2025-11-26 19:09:36
zizmor-doc-1.17.0-r0.apk
2.28KB
2025-11-26 19:09:36
zpaq-7.15-r0.apk
165.81KB
2025-10-09 06:50:53
zpaq-doc-7.15-r0.apk
16.27KB
2025-10-09 06:50:53
zsh-histdb-skim-0.8.6-r0.apk
753.89KB
2024-10-25 23:07:56
zsh-manydots-magic-0_git20230607-r1.apk
2.84KB
2024-10-25 23:07:56
zutty-0.16-r0.apk
148.56KB
2025-01-12 23:01:06
zutty-doc-0.16-r0.apk
66.56KB
2025-01-12 23:01:06
zvbi-0.2.44-r0.apk
180.02KB
2025-03-11 22:40:50
zvbi-doc-0.2.44-r0.apk
21.05KB
2025-03-11 22:40:50
zycore-1.5.0-r1.apk
19.75KB
2025-07-15 11:22:17
zycore-dev-1.5.0-r1.apk
37.39KB
2025-07-15 11:22:17
zycore-doc-1.5.0-r1.apk
432.65KB
2025-07-15 11:22:17
zydis-4.1.0-r0.apk
210.74KB
2024-10-25 23:07:56
zydis-dev-4.1.0-r0.apk
60.82KB
2024-10-25 23:07:56
zydis-doc-4.1.0-r0.apk
1.58MB
2024-10-25 23:07:56
10" >